Coverage Report

Created: 2017-10-03 07:32

/Users/buildslave/jenkins/sharedspace/clang-stage2-coverage-R@2/clang-build/lib/Target/PowerPC/PPCGenAsmMatcher.inc
Line
Count
Source (jump to first uncovered line)
1
/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
2
|*                                                                            *|
3
|* Assembly Matcher Source Fragment                                           *|
4
|*                                                                            *|
5
|* Automatically generated file, do not edit!                                 *|
6
|*                                                                            *|
7
\*===----------------------------------------------------------------------===*/
8
9
10
#ifdef GET_ASSEMBLER_HEADER
11
#undef GET_ASSEMBLER_HEADER
12
  // This should be included into the middle of the declaration of
13
  // your subclasses implementation of MCTargetAsmParser.
14
  uint64_t ComputeAvailableFeatures(const FeatureBitset& FB) const;
15
  void convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
16
                       const OperandVector &Operands);
17
  void convertToMapAndConstraints(unsigned Kind,
18
                           const OperandVector &Operands) override;
19
  unsigned MatchInstructionImpl(const OperandVector &Operands,
20
                                MCInst &Inst,
21
                                uint64_t &ErrorInfo, bool matchingInlineAsm,
22
                                unsigned VariantID = 0);
23
#endif // GET_ASSEMBLER_HEADER_INFO
24
25
26
#ifdef GET_OPERAND_DIAGNOSTIC_TYPES
27
#undef GET_OPERAND_DIAGNOSTIC_TYPES
28
29
#endif // GET_OPERAND_DIAGNOSTIC_TYPES
30
31
32
#ifdef GET_REGISTER_MATCHER
33
#undef GET_REGISTER_MATCHER
34
35
// Flags for subtarget features that participate in instruction matching.
36
enum SubtargetFeatureFlag : uint8_t {
37
  Feature_None = 0
38
};
39
40
#endif // GET_REGISTER_MATCHER
41
42
43
#ifdef GET_SUBTARGET_FEATURE_NAME
44
#undef GET_SUBTARGET_FEATURE_NAME
45
46
// User-level names for subtarget features that participate in
47
// instruction matching.
48
static const char *getSubtargetFeatureName(uint64_t Val) {
49
  return "(unknown)";
50
}
51
52
#endif // GET_SUBTARGET_FEATURE_NAME
53
54
55
#ifdef GET_MATCHER_IMPLEMENTATION
56
#undef GET_MATCHER_IMPLEMENTATION
57
58
5.76k
static void applyMnemonicAliases(StringRef &Mnemonic, uint64_t Features, unsigned VariantID) {
59
5.76k
  switch (VariantID) {
60
5.76k
    case 0:
61
5.76k
      switch (Mnemonic.size()) {
62
3.53k
      default: break;
63
1.21k
      case 5:  // 1 string to match.
64
1.21k
        if (memcmp(Mnemonic.data()+0, "cntlz", 5) != 0)
65
1.20k
          break;
66
4
        Mnemonic = "cntlzw";   // "cntlz"
67
4
        return;
68
1.02k
      case 6:  // 1 string to match.
69
1.02k
        if (memcmp(Mnemonic.data()+0, "cntlz.", 6) != 0)
70
1.02k
          break;
71
0
        Mnemonic = "cntlzw.";  // "cntlz."
72
0
        return;
73
5.76k
      }
74
5.76k
    break;
75
5.76k
  }
76
5.76k
  switch (Mnemonic.size()) {
77
3.53k
  default: break;
78
1.20k
  case 5:  // 1 string to match.
79
1.20k
    if (memcmp(Mnemonic.data()+0, "cntlz", 5) != 0)
80
1.20k
      break;
81
0
    Mnemonic = "cntlzw";   // "cntlz"
82
0
    return;
83
1.02k
  case 6:  // 1 string to match.
84
1.02k
    if (memcmp(Mnemonic.data()+0, "cntlz.", 6) != 0)
85
1.02k
      break;
86
0
    Mnemonic = "cntlzw.";  // "cntlz."
87
0
    return;
88
5.76k
  }
89
5.76k
}
90
91
namespace {
92
enum OperatorConversionKind {
93
  CVT_Done,
94
  CVT_Reg,
95
  CVT_Tied,
96
  CVT_95_addRegG8RCOperands,
97
  CVT_95_addTLSRegOperands,
98
  CVT_95_addRegGPRCOperands,
99
  CVT_95_addRegGPRCNoR0Operands,
100
  CVT_95_addS16ImmOperands,
101
  CVT_95_addImmOperands,
102
  CVT_95_addU16ImmOperands,
103
  CVT_95_addBranchTargetOperands,
104
  CVT_95_addRegCRBITRCOperands,
105
  CVT_imm_95_3,
106
  CVT_imm_95_2,
107
  CVT_imm_95_0,
108
  CVT_95_addRegVRRCOperands,
109
  CVT_imm_95_8,
110
  CVT_imm_95_10,
111
  CVT_imm_95_76,
112
  CVT_regCR0,
113
  CVT_95_addRegCRRCOperands,
114
  CVT_imm_95_79,
115
  CVT_imm_95_78,
116
  CVT_imm_95_4,
117
  CVT_imm_95_7,
118
  CVT_imm_95_6,
119
  CVT_imm_95_44,
120
  CVT_imm_95_47,
121
  CVT_imm_95_46,
122
  CVT_imm_95_36,
123
  CVT_imm_95_39,
124
  CVT_imm_95_38,
125
  CVT_imm_95_12,
126
  CVT_imm_95_15,
127
  CVT_imm_95_14,
128
  CVT_imm_95_68,
129
  CVT_imm_95_71,
130
  CVT_imm_95_70,
131
  CVT_imm_95_100,
132
  CVT_imm_95_103,
133
  CVT_imm_95_102,
134
  CVT_imm_95_108,
135
  CVT_imm_95_111,
136
  CVT_imm_95_110,
137
  CVT_imm_95_31,
138
  CVT_95_addRegGxRCNoR0Operands,
139
  CVT_95_addRegGxRCOperands,
140
  CVT_regR0,
141
  CVT_95_addRegF4RCOperands,
142
  CVT_95_addRegF8RCOperands,
143
  CVT_imm_95_1,
144
  CVT_95_addRegVFRCOperands,
145
  CVT_95_addRegVSFRCOperands,
146
  CVT_95_addRegVSSRCOperands,
147
  CVT_95_addRegVSRCOperands,
148
  CVT_imm_95_29,
149
  CVT_imm_95_280,
150
  CVT_imm_95_128,
151
  CVT_imm_95_129,
152
  CVT_imm_95_130,
153
  CVT_imm_95_131,
154
  CVT_imm_95_132,
155
  CVT_imm_95_133,
156
  CVT_imm_95_134,
157
  CVT_imm_95_135,
158
  CVT_imm_95_28,
159
  CVT_imm_95_19,
160
  CVT_imm_95_537,
161
  CVT_imm_95_539,
162
  CVT_imm_95_541,
163
  CVT_imm_95_543,
164
  CVT_imm_95_536,
165
  CVT_imm_95_538,
166
  CVT_imm_95_540,
167
  CVT_imm_95_542,
168
  CVT_imm_95_1018,
169
  CVT_imm_95_981,
170
  CVT_imm_95_22,
171
  CVT_imm_95_17,
172
  CVT_imm_95_18,
173
  CVT_imm_95_980,
174
  CVT_imm_95_529,
175
  CVT_imm_95_531,
176
  CVT_imm_95_533,
177
  CVT_imm_95_535,
178
  CVT_imm_95_528,
179
  CVT_imm_95_530,
180
  CVT_imm_95_532,
181
  CVT_imm_95_534,
182
  CVT_imm_95_1019,
183
  CVT_95_addCRBitMaskOperands,
184
  CVT_imm_95_48,
185
  CVT_imm_95_287,
186
  CVT_imm_95_5,
187
  CVT_imm_95_25,
188
  CVT_imm_95_512,
189
  CVT_imm_95_272,
190
  CVT_imm_95_273,
191
  CVT_imm_95_274,
192
  CVT_imm_95_275,
193
  CVT_imm_95_260,
194
  CVT_imm_95_261,
195
  CVT_imm_95_262,
196
  CVT_imm_95_263,
197
  CVT_imm_95_26,
198
  CVT_imm_95_27,
199
  CVT_imm_95_990,
200
  CVT_imm_95_991,
201
  CVT_imm_95_268,
202
  CVT_imm_95_988,
203
  CVT_imm_95_989,
204
  CVT_imm_95_269,
205
  CVT_imm_95_986,
206
  CVT_imm_95_255,
207
  CVT_imm_95_284,
208
  CVT_imm_95_285,
209
  CVT_95_addRegG8RCNoX0Operands,
210
  CVT_95_addRegQFRCOperands,
211
  CVT_95_addRegQSRCOperands,
212
  CVT_95_addRegQBRCOperands,
213
  CVT_imm_95_9,
214
  CVT_imm_95_13,
215
  CVT_imm_95_20,
216
  CVT_imm_95_16,
217
  CVT_imm_95_24,
218
  CVT_NUM_CONVERTERS
219
};
220
221
enum InstructionConversionKind {
222
  Convert__RegG8RC1_0__RegG8RC1_1__TLSReg1_2,
223
  Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2,
224
  Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3,
225
  Convert__RegGPRC1_0__RegGPRCNoR01_1__S16Imm1_2,
226
  Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2,
227
  Convert__RegGPRC1_1__RegGPRC1_2__S16Imm1_3,
228
  Convert__RegGPRC1_0__RegGPRCNoR01_1__S17Imm1_2,
229
  Convert__RegGPRC1_0__RegGPRC1_1,
230
  Convert__RegGPRC1_1__RegGPRC1_2,
231
  Convert__RegG8RC1_0__Imm1_1,
232
  Convert__RegGPRC1_1__RegGPRC1_2__U16Imm1_3,
233
  Convert_NoOperands,
234
  Convert__DirectBr1_0,
235
  Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2,
236
  Convert__U5Imm1_1__ATBitsAsHint1_0__RegCRBITRC1_2__CondBr1_3,
237
  Convert__U5Imm1_0__imm_95_3__RegCRBITRC1_1__CondBr1_2,
238
  Convert__U5Imm1_0__imm_95_2__RegCRBITRC1_1__CondBr1_2,
239
  Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0,
240
  Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2,
241
  Convert__RegVRRC1_1__RegVRRC1_2__U1Imm1_3,
242
  Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3,
243
  Convert__RegVRRC1_1__RegVRRC1_2,
244
  Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3__U1Imm1_4,
245
  Convert__CondBr1_0,
246
  Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1,
247
  Convert__imm_95_0__RegCRBITRC1_0__imm_95_0,
248
  Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1,
249
  Convert__imm_95_8__RegCRBITRC1_0__imm_95_0,
250
  Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1,
251
  Convert__imm_95_2__RegCRBITRC1_0__imm_95_0,
252
  Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1,
253
  Convert__imm_95_10__RegCRBITRC1_0__imm_95_0,
254
  Convert__imm_95_76__regCR0__CondBr1_0,
255
  Convert__imm_95_76__RegCRRC1_0__CondBr1_1,
256
  Convert__imm_95_79__regCR0__CondBr1_0,
257
  Convert__imm_95_79__RegCRRC1_0__CondBr1_1,
258
  Convert__imm_95_78__regCR0__CondBr1_0,
259
  Convert__imm_95_78__RegCRRC1_0__CondBr1_1,
260
  Convert__imm_95_76__regCR0,
261
  Convert__imm_95_76__RegCRRC1_0,
262
  Convert__imm_95_79__regCR0,
263
  Convert__imm_95_79__RegCRRC1_0,
264
  Convert__imm_95_78__regCR0,
265
  Convert__imm_95_78__RegCRRC1_0,
266
  Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1,
267
  Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1,
268
  Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1,
269
  Convert__imm_95_4__RegCRBITRC1_0__imm_95_0,
270
  Convert__imm_95_7__RegCRBITRC1_0__imm_95_0,
271
  Convert__imm_95_6__RegCRBITRC1_0__imm_95_0,
272
  Convert__imm_95_4__regCR0__CondBr1_0,
273
  Convert__imm_95_4__RegCRRC1_0__CondBr1_1,
274
  Convert__imm_95_7__regCR0__CondBr1_0,
275
  Convert__imm_95_7__RegCRRC1_0__CondBr1_1,
276
  Convert__imm_95_6__regCR0__CondBr1_0,
277
  Convert__imm_95_6__RegCRRC1_0__CondBr1_1,
278
  Convert__imm_95_4__regCR0,
279
  Convert__imm_95_4__RegCRRC1_0,
280
  Convert__imm_95_7__regCR0,
281
  Convert__imm_95_7__RegCRRC1_0,
282
  Convert__imm_95_6__regCR0,
283
  Convert__imm_95_6__RegCRRC1_0,
284
  Convert__imm_95_44__regCR0__CondBr1_0,
285
  Convert__imm_95_44__RegCRRC1_0__CondBr1_1,
286
  Convert__imm_95_47__regCR0__CondBr1_0,
287
  Convert__imm_95_47__RegCRRC1_0__CondBr1_1,
288
  Convert__imm_95_46__regCR0__CondBr1_0,
289
  Convert__imm_95_46__RegCRRC1_0__CondBr1_1,
290
  Convert__imm_95_44__regCR0,
291
  Convert__imm_95_44__RegCRRC1_0,
292
  Convert__imm_95_47__regCR0,
293
  Convert__imm_95_47__RegCRRC1_0,
294
  Convert__imm_95_46__regCR0,
295
  Convert__imm_95_46__RegCRRC1_0,
296
  Convert__DirectBr1_0__Imm1_1,
297
  Convert__imm_95_36__regCR0__CondBr1_0,
298
  Convert__imm_95_36__RegCRRC1_0__CondBr1_1,
299
  Convert__imm_95_39__regCR0__CondBr1_0,
300
  Convert__imm_95_39__RegCRRC1_0__CondBr1_1,
301
  Convert__imm_95_38__regCR0__CondBr1_0,
302
  Convert__imm_95_38__RegCRRC1_0__CondBr1_1,
303
  Convert__imm_95_36__regCR0,
304
  Convert__imm_95_36__RegCRRC1_0,
305
  Convert__imm_95_39__regCR0,
306
  Convert__imm_95_39__RegCRRC1_0,
307
  Convert__imm_95_38__regCR0,
308
  Convert__imm_95_38__RegCRRC1_0,
309
  Convert__imm_95_12__regCR0__CondBr1_0,
310
  Convert__imm_95_12__RegCRRC1_0__CondBr1_1,
311
  Convert__imm_95_15__regCR0__CondBr1_0,
312
  Convert__imm_95_15__RegCRRC1_0__CondBr1_1,
313
  Convert__imm_95_14__regCR0__CondBr1_0,
314
  Convert__imm_95_14__RegCRRC1_0__CondBr1_1,
315
  Convert__imm_95_12__regCR0,
316
  Convert__imm_95_12__RegCRRC1_0,
317
  Convert__imm_95_15__regCR0,
318
  Convert__imm_95_15__RegCRRC1_0,
319
  Convert__imm_95_14__regCR0,
320
  Convert__imm_95_14__RegCRRC1_0,
321
  Convert__imm_95_68__regCR0__CondBr1_0,
322
  Convert__imm_95_68__RegCRRC1_0__CondBr1_1,
323
  Convert__imm_95_71__regCR0__CondBr1_0,
324
  Convert__imm_95_71__RegCRRC1_0__CondBr1_1,
325
  Convert__imm_95_70__regCR0__CondBr1_0,
326
  Convert__imm_95_70__RegCRRC1_0__CondBr1_1,
327
  Convert__imm_95_68__regCR0,
328
  Convert__imm_95_68__RegCRRC1_0,
329
  Convert__imm_95_71__regCR0,
330
  Convert__imm_95_71__RegCRRC1_0,
331
  Convert__imm_95_70__regCR0,
332
  Convert__imm_95_70__RegCRRC1_0,
333
  Convert__imm_95_100__regCR0__CondBr1_0,
334
  Convert__imm_95_100__RegCRRC1_0__CondBr1_1,
335
  Convert__imm_95_103__regCR0__CondBr1_0,
336
  Convert__imm_95_103__RegCRRC1_0__CondBr1_1,
337
  Convert__imm_95_102__regCR0__CondBr1_0,
338
  Convert__imm_95_102__RegCRRC1_0__CondBr1_1,
339
  Convert__imm_95_100__regCR0,
340
  Convert__imm_95_100__RegCRRC1_0,
341
  Convert__imm_95_103__regCR0,
342
  Convert__imm_95_103__RegCRRC1_0,
343
  Convert__imm_95_102__regCR0,
344
  Convert__imm_95_102__RegCRRC1_0,
345
  Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2,
346
  Convert__imm_95_108__regCR0__CondBr1_0,
347
  Convert__imm_95_108__RegCRRC1_0__CondBr1_1,
348
  Convert__imm_95_111__regCR0__CondBr1_0,
349
  Convert__imm_95_111__RegCRRC1_0__CondBr1_1,
350
  Convert__imm_95_110__regCR0__CondBr1_0,
351
  Convert__imm_95_110__RegCRRC1_0__CondBr1_1,
352
  Convert__imm_95_108__regCR0,
353
  Convert__imm_95_108__RegCRRC1_0,
354
  Convert__imm_95_111__regCR0,
355
  Convert__imm_95_111__RegCRRC1_0,
356
  Convert__imm_95_110__regCR0,
357
  Convert__imm_95_110__RegCRRC1_0,
358
  Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1,
359
  Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1,
360
  Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1,
361
  Convert__imm_95_12__RegCRBITRC1_0__imm_95_0,
362
  Convert__imm_95_15__RegCRBITRC1_0__imm_95_0,
363
  Convert__imm_95_14__RegCRBITRC1_0__imm_95_0,
364
  Convert__RegG8RC1_0__RegG8RC1_1__imm_95_0__U6Imm1_2,
365
  Convert__RegG8RC1_0__RegGPRC1_1__imm_95_0__U6Imm1_2,
366
  Convert__RegG8RC1_1__RegG8RC1_2__imm_95_0__U6Imm1_3,
367
  Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3,
368
  Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4,
369
  Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3,
370
  Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4,
371
  Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0__U5Imm1_2__imm_95_31,
372
  Convert__RegGPRC1_1__RegGPRC1_2__imm_95_0__U5Imm1_3__imm_95_31,
373
  Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2,
374
  Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3,
375
  Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2,
376
  Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3,
377
  Convert__RegCRRC1_0__RegGPRC1_2__RegGPRC1_3,
378
  Convert__RegCRRC1_0__RegG8RC1_2__RegG8RC1_3,
379
  Convert__regCR0__RegG8RC1_0__RegG8RC1_1,
380
  Convert__RegCRRC1_0__RegG8RC1_1__RegG8RC1_2,
381
  Convert__regCR0__RegG8RC1_0__S16Imm1_1,
382
  Convert__RegCRRC1_0__RegG8RC1_1__S16Imm1_2,
383
  Convert__RegCRBITRC1_0__RegG8RC1_1__RegG8RC1_2,
384
  Convert__RegCRRC1_0__RegGPRC1_2__S16Imm1_3,
385
  Convert__RegCRRC1_0__RegG8RC1_2__S16Imm1_3,
386
  Convert__regCR0__RegG8RC1_0__U16Imm1_1,
387
  Convert__RegCRRC1_0__RegG8RC1_1__U16Imm1_2,
388
  Convert__RegCRRC1_0__RegGPRC1_2__U16Imm1_3,
389
  Convert__RegCRRC1_0__RegG8RC1_2__U16Imm1_3,
390
  Convert__regCR0__RegGPRC1_0__RegGPRC1_1,
391
  Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2,
392
  Convert__regCR0__RegGPRC1_0__U16Imm1_1,
393
  Convert__RegCRRC1_0__RegGPRC1_1__U16Imm1_2,
394
  Convert__RegCRBITRC1_0__U1Imm1_1__RegG8RC1_2__RegG8RC1_3,
395
  Convert__regCR0__RegGPRC1_0__S16Imm1_1,
396
  Convert__RegCRRC1_0__RegGPRC1_1__S16Imm1_2,
397
  Convert__RegG8RC1_0__RegG8RC1_1,
398
  Convert__RegG8RC1_1__RegG8RC1_2,
399
  Convert__RegGPRC1_0__RegGPRC1_1__U1Imm1_2,
400
  Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2,
401
  Convert__RegCRBITRC1_0__RegCRBITRC1_0__RegCRBITRC1_0,
402
  Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_1,
403
  Convert__RegGxRCNoR01_0__RegGxRC1_1,
404
  Convert__U5Imm1_2__RegGxRCNoR01_0__RegGxRC1_1,
405
  Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2,
406
  Convert__regR0__regR0,
407
  Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3,
408
  Convert__U5Imm1_0,
409
  Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1,
410
  Convert__RegGPRC1_0__RegGPRC1_2__U5Imm1_1,
411
  Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2,
412
  Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2,
413
  Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2,
414
  Convert__RegGPRC1_0__Imm1_1,
415
  Convert__RegGPRC1_0__U5Imm1_1__RegGPRC1_2,
416
  Convert__RegF4RC1_0__RegF4RC1_1,
417
  Convert__RegF4RC1_1__RegF4RC1_2,
418
  Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2,
419
  Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3,
420
  Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2,
421
  Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3,
422
  Convert__RegF8RC1_0__RegF8RC1_1,
423
  Convert__RegF8RC1_1__RegF8RC1_2,
424
  Convert__RegF4RC1_0__RegF8RC1_1,
425
  Convert__RegF4RC1_1__RegF8RC1_2,
426
  Convert__RegCRRC1_0__RegF4RC1_1__RegF4RC1_2,
427
  Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3,
428
  Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4,
429
  Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3,
430
  Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4,
431
  Convert__RegF4RC1_0__RegF8RC1_1__RegF4RC1_2__RegF4RC1_3,
432
  Convert__RegF4RC1_1__RegF8RC1_2__RegF4RC1_3__RegF4RC1_4,
433
  Convert__RegCRRC1_0__RegF8RC1_1__RegF8RC1_2,
434
  Convert__RegCRRC1_0__RegF8RC1_1,
435
  Convert__U4Imm1_0__RegGxRCNoR01_1__RegGxRC1_2,
436
  Convert__U4Imm1_1__RegGxRCNoR01_2__RegGxRC1_3,
437
  Convert__RegGPRC1_0__RegGPRCNoR01_1__RegGPRC1_2__RegCRBITRC1_3,
438
  Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2,
439
  Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2,
440
  Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2,
441
  Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2,
442
  Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2,
443
  Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2,
444
  Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2,
445
  Convert__RegG8RC1_0__imm_95_0__DispRIX1_1__RegGxRCNoR01_2,
446
  Convert__RegG8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2,
447
  Convert__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2,
448
  Convert__RegF8RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2,
449
  Convert__RegF8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2,
450
  Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2,
451
  Convert__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2,
452
  Convert__RegF4RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2,
453
  Convert__RegF4RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2,
454
  Convert__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2,
455
  Convert__RegGPRC1_0__S16Imm1_1,
456
  Convert__RegGPRC1_0__S17Imm1_1,
457
  Convert__RegG8RC1_0__imm_95_0,
458
  Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2,
459
  Convert__imm_95_1,
460
  Convert__RegVFRC1_0__DispRIX1_1__RegGxRCNoR01_2,
461
  Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2,
462
  Convert__RegVSSRC1_0__RegGxRCNoR01_1__RegGxRC1_2,
463
  Convert__RegVSRC1_0__DispRIX161_1__RegGxRCNoR01_2,
464
  Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2,
465
  Convert__RegVSRC1_0__Imm1_1__RegG8RC1_2,
466
  Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3,
467
  Convert__imm_95_0,
468
  Convert__RegCRRC1_0__RegCRRC1_1,
469
  Convert__RegCRRC1_0,
470
  Convert__RegGPRC1_0__imm_95_29,
471
  Convert__RegGPRC1_0__imm_95_280,
472
  Convert__RegGPRC1_0__U10Imm1_1__imm_95_0,
473
  Convert__RegGPRC1_0__imm_95_128,
474
  Convert__RegGPRC1_0__imm_95_129,
475
  Convert__RegGPRC1_0__imm_95_130,
476
  Convert__RegGPRC1_0__imm_95_131,
477
  Convert__RegGPRC1_0__imm_95_132,
478
  Convert__RegGPRC1_0__imm_95_133,
479
  Convert__RegGPRC1_0__imm_95_134,
480
  Convert__RegGPRC1_0__imm_95_135,
481
  Convert__RegGPRC1_0__imm_95_28,
482
  Convert__RegGPRC1_0,
483
  Convert__RegGPRC1_0__imm_95_19,
484
  Convert__RegGPRC1_0__imm_95_537,
485
  Convert__RegGPRC1_0__imm_95_539,
486
  Convert__RegGPRC1_0__imm_95_541,
487
  Convert__RegGPRC1_0__imm_95_543,
488
  Convert__RegGPRC1_0__imm_95_536,
489
  Convert__RegGPRC1_0__imm_95_538,
490
  Convert__RegGPRC1_0__imm_95_540,
491
  Convert__RegGPRC1_0__imm_95_542,
492
  Convert__RegGPRC1_0__imm_95_1018,
493
  Convert__RegGPRC1_0__imm_95_981,
494
  Convert__RegGPRC1_0__imm_95_22,
495
  Convert__RegGPRC1_0__imm_95_17,
496
  Convert__RegGPRC1_0__imm_95_18,
497
  Convert__RegGPRC1_0__imm_95_980,
498
  Convert__RegG8RC1_0__RegF8RC1_1,
499
  Convert__RegF8RC1_0,
500
  Convert__RegF8RC1_1,
501
  Convert__RegF8RC1_0__U3Imm1_1,
502
  Convert__RegF8RC1_0__U2Imm1_1,
503
  Convert__RegGPRC1_0__imm_95_529,
504
  Convert__RegGPRC1_0__imm_95_531,
505
  Convert__RegGPRC1_0__imm_95_533,
506
  Convert__RegGPRC1_0__imm_95_535,
507
  Convert__RegGPRC1_0__imm_95_528,
508
  Convert__RegGPRC1_0__imm_95_530,
509
  Convert__RegGPRC1_0__imm_95_532,
510
  Convert__RegGPRC1_0__imm_95_534,
511
  Convert__RegGPRC1_0__imm_95_1019,
512
  Convert__RegGPRC1_0__CRBitMask1_1,
513
  Convert__RegGPRC1_0__imm_95_48,
514
  Convert__RegGPRC1_0__imm_95_287,
515
  Convert__RegGPRC1_0__imm_95_5,
516
  Convert__RegGPRC1_0__imm_95_4,
517
  Convert__RegGPRC1_0__imm_95_25,
518
  Convert__RegGPRC1_0__imm_95_512,
519
  Convert__RegGPRC1_0__imm_95_272,
520
  Convert__RegGPRC1_0__imm_95_273,
521
  Convert__RegGPRC1_0__imm_95_274,
522
  Convert__RegGPRC1_0__imm_95_275,
523
  Convert__RegGPRC1_0__imm_95_260,
524
  Convert__RegGPRC1_0__imm_95_261,
525
  Convert__RegGPRC1_0__imm_95_262,
526
  Convert__RegGPRC1_0__imm_95_263,
527
  Convert__RegGPRC1_0__U4Imm1_1,
528
  Convert__RegGPRC1_0__imm_95_26,
529
  Convert__RegGPRC1_0__imm_95_27,
530
  Convert__RegGPRC1_0__imm_95_990,
531
  Convert__RegGPRC1_0__imm_95_991,
532
  Convert__RegGPRC1_0__imm_95_268,
533
  Convert__RegGPRC1_0__imm_95_988,
534
  Convert__RegGPRC1_0__imm_95_989,
535
  Convert__RegGPRC1_0__imm_95_269,
536
  Convert__RegGPRC1_0__imm_95_986,
537
  Convert__RegG8RC1_0__RegVRRC1_1,
538
  Convert__RegVRRC1_0,
539
  Convert__RegG8RC1_0__RegVSFRC1_1,
540
  Convert__RegG8RC1_0__RegVSRC1_1,
541
  Convert__RegGPRC1_0__RegVSFRC1_1,
542
  Convert__RegGPRC1_0__imm_95_1,
543
  Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_1,
544
  Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_2,
545
  Convert__imm_95_29__RegGPRC1_0,
546
  Convert__imm_95_280__RegGPRC1_0,
547
  Convert__imm_95_28__RegGPRC1_0,
548
  Convert__imm_95_255__RegG8RC1_0,
549
  Convert__Imm1_0__RegGPRC1_1,
550
  Convert__imm_95_19__RegGPRC1_0,
551
  Convert__imm_95_537__RegGPRC1_1,
552
  Convert__imm_95_539__RegGPRC1_1,
553
  Convert__imm_95_541__RegGPRC1_1,
554
  Convert__imm_95_543__RegGPRC1_1,
555
  Convert__imm_95_536__RegGPRC1_1,
556
  Convert__imm_95_538__RegGPRC1_1,
557
  Convert__imm_95_540__RegGPRC1_1,
558
  Convert__imm_95_542__RegGPRC1_1,
559
  Convert__imm_95_1018__RegGPRC1_0,
560
  Convert__RegGPRC1_1__Imm1_0,
561
  Convert__imm_95_981__RegGPRC1_0,
562
  Convert__imm_95_22__RegGPRC1_0,
563
  Convert__imm_95_17__RegGPRC1_0,
564
  Convert__imm_95_18__RegGPRC1_0,
565
  Convert__imm_95_980__RegGPRC1_0,
566
  Convert__Imm1_0__RegF8RC1_1__imm_95_0__imm_95_0,
567
  Convert__Imm1_1__RegF8RC1_2__imm_95_0__imm_95_0,
568
  Convert__Imm1_0__RegF8RC1_1__Imm1_2__Imm1_3,
569
  Convert__Imm1_1__RegF8RC1_2__Imm1_3__Imm1_4,
570
  Convert__RegCRRC1_0__Imm1_1__imm_95_0,
571
  Convert__RegCRRC1_1__Imm1_2__imm_95_0,
572
  Convert__RegCRRC1_0__Imm1_1__Imm1_2,
573
  Convert__RegCRRC1_1__Imm1_2__Imm1_3,
574
  Convert__imm_95_529__RegGPRC1_1,
575
  Convert__imm_95_531__RegGPRC1_1,
576
  Convert__imm_95_533__RegGPRC1_1,
577
  Convert__imm_95_535__RegGPRC1_1,
578
  Convert__imm_95_528__RegGPRC1_1,
579
  Convert__imm_95_530__RegGPRC1_1,
580
  Convert__imm_95_532__RegGPRC1_1,
581
  Convert__imm_95_534__RegGPRC1_1,
582
  Convert__imm_95_1019__RegGPRC1_0,
583
  Convert__RegGPRC1_0__imm_95_0,
584
  Convert__CRBitMask1_0__RegGPRC1_1,
585
  Convert__imm_95_48__RegGPRC1_0,
586
  Convert__imm_95_25__RegGPRC1_0,
587
  Convert__imm_95_512__RegGPRC1_0,
588
  Convert__imm_95_272__RegGPRC1_1,
589
  Convert__imm_95_273__RegGPRC1_1,
590
  Convert__imm_95_274__RegGPRC1_1,
591
  Convert__imm_95_275__RegGPRC1_1,
592
  Convert__imm_95_260__RegGPRC1_1,
593
  Convert__imm_95_261__RegGPRC1_1,
594
  Convert__imm_95_262__RegGPRC1_1,
595
  Convert__imm_95_263__RegGPRC1_1,
596
  Convert__imm_95_272__RegGPRC1_0,
597
  Convert__imm_95_273__RegGPRC1_0,
598
  Convert__imm_95_274__RegGPRC1_0,
599
  Convert__imm_95_275__RegGPRC1_0,
600
  Convert__imm_95_260__RegGPRC1_0,
601
  Convert__imm_95_261__RegGPRC1_0,
602
  Convert__imm_95_262__RegGPRC1_0,
603
  Convert__imm_95_263__RegGPRC1_0,
604
  Convert__RegGPRC1_1__U4Imm1_0,
605
  Convert__imm_95_26__RegGPRC1_0,
606
  Convert__imm_95_27__RegGPRC1_0,
607
  Convert__imm_95_990__RegGPRC1_0,
608
  Convert__imm_95_991__RegGPRC1_0,
609
  Convert__imm_95_988__RegGPRC1_0,
610
  Convert__imm_95_284__RegGPRC1_0,
611
  Convert__imm_95_989__RegGPRC1_0,
612
  Convert__imm_95_285__RegGPRC1_0,
613
  Convert__imm_95_986__RegGPRC1_0,
614
  Convert__RegVSFRC1_0__RegG8RC1_1,
615
  Convert__RegVSRC1_0__RegG8RCNoX01_1__RegG8RC1_2,
616
  Convert__RegVSFRC1_0__RegGPRC1_1,
617
  Convert__RegVSRC1_0__RegGPRC1_1,
618
  Convert__imm_95_1__RegGPRC1_0,
619
  Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2,
620
  Convert__RegGPRC1_1__RegGPRC1_2__U1Imm1_3,
621
  Convert__imm_95_2,
622
  Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__U2Imm1_3,
623
  Convert__RegQFRC1_0__RegQFRC1_1__U2Imm1_2,
624
  Convert__RegQFRC1_0__RegQFRC1_1,
625
  Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2,
626
  Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2,
627
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_1,
628
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_4,
629
  Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_0,
630
  Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2,
631
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_5,
632
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_9,
633
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__U12Imm1_3,
634
  Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2,
635
  Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2,
636
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_14,
637
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_8,
638
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_10,
639
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_7,
640
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_13,
641
  Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__RegQFRC1_3,
642
  Convert__RegQSRC1_0__RegQFRC1_1,
643
  Convert__RegQFRC1_0__RegQBRC1_1__RegQFRC1_3__RegQFRC1_2,
644
  Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_15,
645
  Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_6,
646
  Convert__RegQFRC1_0__U12Imm1_1,
647
  Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2,
648
  Convert__RegQFRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2,
649
  Convert__RegQSRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2,
650
  Convert__imm_95_0__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2,
651
  Convert__imm_95_0__RegQSRC1_0__RegGxRCNoR01_1__RegGxRC1_2,
652
  Convert__U1Imm1_0,
653
  Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__U6Imm1_3,
654
  Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__U6Imm1_4,
655
  Convert__RegG8RC1_0__Tie0__RegG8RC1_1__U6Imm1_2__U6Imm1_3,
656
  Convert__RegG8RC1_1__Tie0__RegG8RC1_2__U6Imm1_3__U6Imm1_4,
657
  Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3,
658
  Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4,
659
  Convert__RegGPRC1_0__Tie0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4,
660
  Convert__RegGPRC1_1__Tie0__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5,
661
  Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4,
662
  Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5,
663
  Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4,
664
  Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__U5Imm1_4__U5Imm1_5,
665
  Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__imm_95_0,
666
  Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__imm_95_0,
667
  Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__imm_95_0,
668
  Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__imm_95_0,
669
  Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__imm_95_0__imm_95_31,
670
  Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__imm_95_0__imm_95_31,
671
  Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__imm_95_0__imm_95_31,
672
  Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__imm_95_0__imm_95_31,
673
  Convert__Imm1_0,
674
  Convert__RegG8RC1_0__RegCRRC1_1,
675
  Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2,
676
  Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3,
677
  Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3,
678
  Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2,
679
  Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2,
680
  Convert__RegG8RC1_1__RegGxRCNoR01_2__RegGxRC1_3,
681
  Convert__imm_95_0__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2,
682
  Convert__imm_95_0__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2,
683
  Convert__imm_95_0__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2,
684
  Convert__imm_95_0__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2,
685
  Convert__imm_95_0__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2,
686
  Convert__imm_95_0__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2,
687
  Convert__RegG8RC1_0__RegG8RC1_2__RegG8RC1_1,
688
  Convert__RegG8RC1_1__RegG8RC1_3__RegG8RC1_2,
689
  Convert__RegG8RC1_0__S16Imm1_1,
690
  Convert__imm_95_0__RegGPRC1_1,
691
  Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__RegGPRC1_3,
692
  Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__U5Imm1_3,
693
  Convert__imm_95_0__U1Imm1_1,
694
  Convert__U5Imm1_0__RegG8RC1_1__RegG8RC1_2,
695
  Convert__imm_95_4__RegG8RC1_0__RegG8RC1_1,
696
  Convert__imm_95_4__RegG8RC1_0__S16Imm1_1,
697
  Convert__imm_95_12__RegG8RC1_0__RegG8RC1_1,
698
  Convert__imm_95_12__RegG8RC1_0__S16Imm1_1,
699
  Convert__imm_95_8__RegG8RC1_0__RegG8RC1_1,
700
  Convert__imm_95_8__RegG8RC1_0__S16Imm1_1,
701
  Convert__U5Imm1_0__RegG8RC1_1__S16Imm1_2,
702
  Convert__imm_95_20__RegG8RC1_0__RegG8RC1_1,
703
  Convert__imm_95_20__RegG8RC1_0__S16Imm1_1,
704
  Convert__imm_95_5__RegG8RC1_0__RegG8RC1_1,
705
  Convert__imm_95_5__RegG8RC1_0__S16Imm1_1,
706
  Convert__imm_95_1__RegG8RC1_0__RegG8RC1_1,
707
  Convert__imm_95_1__RegG8RC1_0__S16Imm1_1,
708
  Convert__imm_95_6__RegG8RC1_0__RegG8RC1_1,
709
  Convert__imm_95_6__RegG8RC1_0__S16Imm1_1,
710
  Convert__imm_95_2__RegG8RC1_0__RegG8RC1_1,
711
  Convert__imm_95_2__RegG8RC1_0__S16Imm1_1,
712
  Convert__imm_95_16__RegG8RC1_0__RegG8RC1_1,
713
  Convert__imm_95_16__RegG8RC1_0__S16Imm1_1,
714
  Convert__imm_95_24__RegG8RC1_0__RegG8RC1_1,
715
  Convert__imm_95_24__RegG8RC1_0__S16Imm1_1,
716
  Convert__imm_95_31__RegG8RC1_0__RegG8RC1_1,
717
  Convert__imm_95_31__RegG8RC1_0__S16Imm1_1,
718
  Convert__regR0__RegGPRC1_0,
719
  Convert__RegGPRC1_1__RegGPRC1_0,
720
  Convert__RegGPRC1_0__RegGPRC1_1__Imm1_2,
721
  Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0,
722
  Convert__RegGPRC1_0__RegGPRC1_1__imm_95_1,
723
  Convert__U5Imm1_0__RegGPRC1_1__RegGPRC1_2,
724
  Convert__imm_95_4__RegGPRC1_0__RegGPRC1_1,
725
  Convert__imm_95_4__RegGPRC1_0__S16Imm1_1,
726
  Convert__imm_95_12__RegGPRC1_0__RegGPRC1_1,
727
  Convert__imm_95_12__RegGPRC1_0__S16Imm1_1,
728
  Convert__imm_95_8__RegGPRC1_0__RegGPRC1_1,
729
  Convert__imm_95_8__RegGPRC1_0__S16Imm1_1,
730
  Convert__U5Imm1_0__RegGPRC1_1__S16Imm1_2,
731
  Convert__imm_95_20__RegGPRC1_0__RegGPRC1_1,
732
  Convert__imm_95_20__RegGPRC1_0__S16Imm1_1,
733
  Convert__imm_95_5__RegGPRC1_0__RegGPRC1_1,
734
  Convert__imm_95_5__RegGPRC1_0__S16Imm1_1,
735
  Convert__imm_95_1__RegGPRC1_0__RegGPRC1_1,
736
  Convert__imm_95_1__RegGPRC1_0__S16Imm1_1,
737
  Convert__imm_95_6__RegGPRC1_0__RegGPRC1_1,
738
  Convert__imm_95_6__RegGPRC1_0__S16Imm1_1,
739
  Convert__imm_95_2__RegGPRC1_0__RegGPRC1_1,
740
  Convert__imm_95_2__RegGPRC1_0__S16Imm1_1,
741
  Convert__imm_95_16__RegGPRC1_0__RegGPRC1_1,
742
  Convert__imm_95_16__RegGPRC1_0__S16Imm1_1,
743
  Convert__imm_95_24__RegGPRC1_0__RegGPRC1_1,
744
  Convert__imm_95_24__RegGPRC1_0__S16Imm1_1,
745
  Convert__imm_95_31__RegGPRC1_0__RegGPRC1_1,
746
  Convert__imm_95_31__RegGPRC1_0__S16Imm1_1,
747
  Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2,
748
  Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3,
749
  Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1,
750
  Convert__RegVRRC1_0__RegVRRC1_1,
751
  Convert__RegGPRC1_0__RegVRRC1_1,
752
  Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1,
753
  Convert__RegG8RC1_0__RegG8RC1_1__RegVRRC1_2,
754
  Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_1,
755
  Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__Tie0,
756
  Convert__RegVRRC1_0__RegVRRC1_1__U1Imm1_2__U4Imm1_3,
757
  Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__U5Imm1_3,
758
  Convert__RegVRRC1_0__S5Imm1_1,
759
  Convert__regR0__regR0__imm_95_0,
760
  Convert__RegVSFRC1_0__RegVSFRC1_1,
761
  Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2,
762
  Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2,
763
  Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2,
764
  Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2,
765
  Convert__RegCRRC1_0__RegVRRC1_1__RegVRRC1_2,
766
  Convert__RegVRRC1_0__RegVFRC1_1,
767
  Convert__RegVSRC1_0__RegVSSRC1_1,
768
  Convert__RegVSSRC1_0__RegVSRC1_1,
769
  Convert__RegVSSRC1_0__RegVSFRC1_1,
770
  Convert__RegVSRC1_0__RegG8RC1_1__RegG8RC1_2,
771
  Convert__RegVRRC1_0__RegVRRC1_1__RegVSFRC1_2,
772
  Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2,
773
  Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2,
774
  Convert__RegVSSRC1_0__RegVSSRC1_1,
775
  Convert__RegVRRC1_1__U1Imm1_0__RegVRRC1_2__U2Imm1_3,
776
  Convert__RegCRRC1_0__RegVSFRC1_1,
777
  Convert__RegCRRC1_0__U7Imm1_2__RegVSFRC1_1,
778
  Convert__RegCRRC1_0__U7Imm1_2__RegVRRC1_1,
779
  Convert__RegVSRC1_0__RegVSRC1_1,
780
  Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2,
781
  Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3,
782
  Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2,
783
  Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1,
784
  Convert__RegCRRC1_0__RegVSRC1_1__RegVSRC1_2,
785
  Convert__RegCRRC1_0__RegVSRC1_1,
786
  Convert__RegVSRC1_0__U7Imm1_2__RegVSRC1_1,
787
  Convert__RegVSFRC1_0__RegVSRC1_1__U4Imm1_2,
788
  Convert__RegVSRC1_0__Tie0__RegVSRC1_1__U4Imm1_2,
789
  Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_0,
790
  Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_3,
791
  Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__U2Imm1_3,
792
  Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3,
793
  Convert__RegVSRC1_0__RegVSFRC1_1__imm_95_0,
794
  Convert__RegVSRC1_0__RegVSFRC1_1__imm_95_3,
795
  Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_0,
796
  Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_3,
797
  Convert__RegVSRC1_0__U8Imm1_1,
798
  Convert__RegVSRC1_0__RegVSRC1_1__U2Imm1_2,
799
  Convert__RegVSRC1_0__RegVSFRC1_1__imm_95_2,
800
  Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_2,
801
  CVT_NUM_SIGNATURES
802
};
803
804
} // end anonymous namespace
805
806
static const uint8_t ConversionTable[CVT_NUM_SIGNATURES][13] = {
807
  // Convert__RegG8RC1_0__RegG8RC1_1__TLSReg1_2
808
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addTLSRegOperands, 3, CVT_Done },
809
  // Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2
810
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done },
811
  // Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3
812
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_Done },
813
  // Convert__RegGPRC1_0__RegGPRCNoR01_1__S16Imm1_2
814
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCNoR0Operands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done },
815
  // Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2
816
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done },
817
  // Convert__RegGPRC1_1__RegGPRC1_2__S16Imm1_3
818
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addS16ImmOperands, 4, CVT_Done },
819
  // Convert__RegGPRC1_0__RegGPRCNoR01_1__S17Imm1_2
820
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCNoR0Operands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done },
821
  // Convert__RegGPRC1_0__RegGPRC1_1
822
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
823
  // Convert__RegGPRC1_1__RegGPRC1_2
824
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done },
825
  // Convert__RegG8RC1_0__Imm1_1
826
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
827
  // Convert__RegGPRC1_1__RegGPRC1_2__U16Imm1_3
828
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addU16ImmOperands, 4, CVT_Done },
829
  // Convert_NoOperands
830
  { CVT_Done },
831
  // Convert__DirectBr1_0
832
  { CVT_95_addBranchTargetOperands, 1, CVT_Done },
833
  // Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2
834
  { CVT_95_addImmOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addBranchTargetOperands, 3, CVT_Done },
835
  // Convert__U5Imm1_1__ATBitsAsHint1_0__RegCRBITRC1_2__CondBr1_3
836
  { CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 1, CVT_95_addRegCRBITRCOperands, 3, CVT_95_addBranchTargetOperands, 4, CVT_Done },
837
  // Convert__U5Imm1_0__imm_95_3__RegCRBITRC1_1__CondBr1_2
838
  { CVT_95_addImmOperands, 1, CVT_imm_95_3, 0, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addBranchTargetOperands, 3, CVT_Done },
839
  // Convert__U5Imm1_0__imm_95_2__RegCRBITRC1_1__CondBr1_2
840
  { CVT_95_addImmOperands, 1, CVT_imm_95_2, 0, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addBranchTargetOperands, 3, CVT_Done },
841
  // Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0
842
  { CVT_95_addImmOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_imm_95_0, 0, CVT_Done },
843
  // Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2
844
  { CVT_95_addImmOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
845
  // Convert__RegVRRC1_1__RegVRRC1_2__U1Imm1_3
846
  { CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
847
  // Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3
848
  { CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_95_addRegVRRCOperands, 4, CVT_Done },
849
  // Convert__RegVRRC1_1__RegVRRC1_2
850
  { CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_Done },
851
  // Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3__U1Imm1_4
852
  { CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_95_addRegVRRCOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
853
  // Convert__CondBr1_0
854
  { CVT_95_addBranchTargetOperands, 1, CVT_Done },
855
  // Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1
856
  { CVT_imm_95_0, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
857
  // Convert__imm_95_0__RegCRBITRC1_0__imm_95_0
858
  { CVT_imm_95_0, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
859
  // Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1
860
  { CVT_imm_95_8, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
861
  // Convert__imm_95_8__RegCRBITRC1_0__imm_95_0
862
  { CVT_imm_95_8, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
863
  // Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1
864
  { CVT_imm_95_2, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
865
  // Convert__imm_95_2__RegCRBITRC1_0__imm_95_0
866
  { CVT_imm_95_2, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
867
  // Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1
868
  { CVT_imm_95_10, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
869
  // Convert__imm_95_10__RegCRBITRC1_0__imm_95_0
870
  { CVT_imm_95_10, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
871
  // Convert__imm_95_76__regCR0__CondBr1_0
872
  { CVT_imm_95_76, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
873
  // Convert__imm_95_76__RegCRRC1_0__CondBr1_1
874
  { CVT_imm_95_76, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
875
  // Convert__imm_95_79__regCR0__CondBr1_0
876
  { CVT_imm_95_79, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
877
  // Convert__imm_95_79__RegCRRC1_0__CondBr1_1
878
  { CVT_imm_95_79, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
879
  // Convert__imm_95_78__regCR0__CondBr1_0
880
  { CVT_imm_95_78, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
881
  // Convert__imm_95_78__RegCRRC1_0__CondBr1_1
882
  { CVT_imm_95_78, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
883
  // Convert__imm_95_76__regCR0
884
  { CVT_imm_95_76, 0, CVT_regCR0, 0, CVT_Done },
885
  // Convert__imm_95_76__RegCRRC1_0
886
  { CVT_imm_95_76, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
887
  // Convert__imm_95_79__regCR0
888
  { CVT_imm_95_79, 0, CVT_regCR0, 0, CVT_Done },
889
  // Convert__imm_95_79__RegCRRC1_0
890
  { CVT_imm_95_79, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
891
  // Convert__imm_95_78__regCR0
892
  { CVT_imm_95_78, 0, CVT_regCR0, 0, CVT_Done },
893
  // Convert__imm_95_78__RegCRRC1_0
894
  { CVT_imm_95_78, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
895
  // Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1
896
  { CVT_imm_95_4, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
897
  // Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1
898
  { CVT_imm_95_7, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
899
  // Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1
900
  { CVT_imm_95_6, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
901
  // Convert__imm_95_4__RegCRBITRC1_0__imm_95_0
902
  { CVT_imm_95_4, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
903
  // Convert__imm_95_7__RegCRBITRC1_0__imm_95_0
904
  { CVT_imm_95_7, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
905
  // Convert__imm_95_6__RegCRBITRC1_0__imm_95_0
906
  { CVT_imm_95_6, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
907
  // Convert__imm_95_4__regCR0__CondBr1_0
908
  { CVT_imm_95_4, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
909
  // Convert__imm_95_4__RegCRRC1_0__CondBr1_1
910
  { CVT_imm_95_4, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
911
  // Convert__imm_95_7__regCR0__CondBr1_0
912
  { CVT_imm_95_7, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
913
  // Convert__imm_95_7__RegCRRC1_0__CondBr1_1
914
  { CVT_imm_95_7, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
915
  // Convert__imm_95_6__regCR0__CondBr1_0
916
  { CVT_imm_95_6, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
917
  // Convert__imm_95_6__RegCRRC1_0__CondBr1_1
918
  { CVT_imm_95_6, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
919
  // Convert__imm_95_4__regCR0
920
  { CVT_imm_95_4, 0, CVT_regCR0, 0, CVT_Done },
921
  // Convert__imm_95_4__RegCRRC1_0
922
  { CVT_imm_95_4, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
923
  // Convert__imm_95_7__regCR0
924
  { CVT_imm_95_7, 0, CVT_regCR0, 0, CVT_Done },
925
  // Convert__imm_95_7__RegCRRC1_0
926
  { CVT_imm_95_7, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
927
  // Convert__imm_95_6__regCR0
928
  { CVT_imm_95_6, 0, CVT_regCR0, 0, CVT_Done },
929
  // Convert__imm_95_6__RegCRRC1_0
930
  { CVT_imm_95_6, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
931
  // Convert__imm_95_44__regCR0__CondBr1_0
932
  { CVT_imm_95_44, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
933
  // Convert__imm_95_44__RegCRRC1_0__CondBr1_1
934
  { CVT_imm_95_44, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
935
  // Convert__imm_95_47__regCR0__CondBr1_0
936
  { CVT_imm_95_47, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
937
  // Convert__imm_95_47__RegCRRC1_0__CondBr1_1
938
  { CVT_imm_95_47, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
939
  // Convert__imm_95_46__regCR0__CondBr1_0
940
  { CVT_imm_95_46, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
941
  // Convert__imm_95_46__RegCRRC1_0__CondBr1_1
942
  { CVT_imm_95_46, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
943
  // Convert__imm_95_44__regCR0
944
  { CVT_imm_95_44, 0, CVT_regCR0, 0, CVT_Done },
945
  // Convert__imm_95_44__RegCRRC1_0
946
  { CVT_imm_95_44, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
947
  // Convert__imm_95_47__regCR0
948
  { CVT_imm_95_47, 0, CVT_regCR0, 0, CVT_Done },
949
  // Convert__imm_95_47__RegCRRC1_0
950
  { CVT_imm_95_47, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
951
  // Convert__imm_95_46__regCR0
952
  { CVT_imm_95_46, 0, CVT_regCR0, 0, CVT_Done },
953
  // Convert__imm_95_46__RegCRRC1_0
954
  { CVT_imm_95_46, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
955
  // Convert__DirectBr1_0__Imm1_1
956
  { CVT_95_addBranchTargetOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
957
  // Convert__imm_95_36__regCR0__CondBr1_0
958
  { CVT_imm_95_36, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
959
  // Convert__imm_95_36__RegCRRC1_0__CondBr1_1
960
  { CVT_imm_95_36, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
961
  // Convert__imm_95_39__regCR0__CondBr1_0
962
  { CVT_imm_95_39, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
963
  // Convert__imm_95_39__RegCRRC1_0__CondBr1_1
964
  { CVT_imm_95_39, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
965
  // Convert__imm_95_38__regCR0__CondBr1_0
966
  { CVT_imm_95_38, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
967
  // Convert__imm_95_38__RegCRRC1_0__CondBr1_1
968
  { CVT_imm_95_38, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
969
  // Convert__imm_95_36__regCR0
970
  { CVT_imm_95_36, 0, CVT_regCR0, 0, CVT_Done },
971
  // Convert__imm_95_36__RegCRRC1_0
972
  { CVT_imm_95_36, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
973
  // Convert__imm_95_39__regCR0
974
  { CVT_imm_95_39, 0, CVT_regCR0, 0, CVT_Done },
975
  // Convert__imm_95_39__RegCRRC1_0
976
  { CVT_imm_95_39, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
977
  // Convert__imm_95_38__regCR0
978
  { CVT_imm_95_38, 0, CVT_regCR0, 0, CVT_Done },
979
  // Convert__imm_95_38__RegCRRC1_0
980
  { CVT_imm_95_38, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
981
  // Convert__imm_95_12__regCR0__CondBr1_0
982
  { CVT_imm_95_12, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
983
  // Convert__imm_95_12__RegCRRC1_0__CondBr1_1
984
  { CVT_imm_95_12, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
985
  // Convert__imm_95_15__regCR0__CondBr1_0
986
  { CVT_imm_95_15, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
987
  // Convert__imm_95_15__RegCRRC1_0__CondBr1_1
988
  { CVT_imm_95_15, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
989
  // Convert__imm_95_14__regCR0__CondBr1_0
990
  { CVT_imm_95_14, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
991
  // Convert__imm_95_14__RegCRRC1_0__CondBr1_1
992
  { CVT_imm_95_14, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
993
  // Convert__imm_95_12__regCR0
994
  { CVT_imm_95_12, 0, CVT_regCR0, 0, CVT_Done },
995
  // Convert__imm_95_12__RegCRRC1_0
996
  { CVT_imm_95_12, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
997
  // Convert__imm_95_15__regCR0
998
  { CVT_imm_95_15, 0, CVT_regCR0, 0, CVT_Done },
999
  // Convert__imm_95_15__RegCRRC1_0
1000
  { CVT_imm_95_15, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1001
  // Convert__imm_95_14__regCR0
1002
  { CVT_imm_95_14, 0, CVT_regCR0, 0, CVT_Done },
1003
  // Convert__imm_95_14__RegCRRC1_0
1004
  { CVT_imm_95_14, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1005
  // Convert__imm_95_68__regCR0__CondBr1_0
1006
  { CVT_imm_95_68, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
1007
  // Convert__imm_95_68__RegCRRC1_0__CondBr1_1
1008
  { CVT_imm_95_68, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1009
  // Convert__imm_95_71__regCR0__CondBr1_0
1010
  { CVT_imm_95_71, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
1011
  // Convert__imm_95_71__RegCRRC1_0__CondBr1_1
1012
  { CVT_imm_95_71, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1013
  // Convert__imm_95_70__regCR0__CondBr1_0
1014
  { CVT_imm_95_70, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
1015
  // Convert__imm_95_70__RegCRRC1_0__CondBr1_1
1016
  { CVT_imm_95_70, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1017
  // Convert__imm_95_68__regCR0
1018
  { CVT_imm_95_68, 0, CVT_regCR0, 0, CVT_Done },
1019
  // Convert__imm_95_68__RegCRRC1_0
1020
  { CVT_imm_95_68, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1021
  // Convert__imm_95_71__regCR0
1022
  { CVT_imm_95_71, 0, CVT_regCR0, 0, CVT_Done },
1023
  // Convert__imm_95_71__RegCRRC1_0
1024
  { CVT_imm_95_71, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1025
  // Convert__imm_95_70__regCR0
1026
  { CVT_imm_95_70, 0, CVT_regCR0, 0, CVT_Done },
1027
  // Convert__imm_95_70__RegCRRC1_0
1028
  { CVT_imm_95_70, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1029
  // Convert__imm_95_100__regCR0__CondBr1_0
1030
  { CVT_imm_95_100, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
1031
  // Convert__imm_95_100__RegCRRC1_0__CondBr1_1
1032
  { CVT_imm_95_100, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1033
  // Convert__imm_95_103__regCR0__CondBr1_0
1034
  { CVT_imm_95_103, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
1035
  // Convert__imm_95_103__RegCRRC1_0__CondBr1_1
1036
  { CVT_imm_95_103, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1037
  // Convert__imm_95_102__regCR0__CondBr1_0
1038
  { CVT_imm_95_102, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
1039
  // Convert__imm_95_102__RegCRRC1_0__CondBr1_1
1040
  { CVT_imm_95_102, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1041
  // Convert__imm_95_100__regCR0
1042
  { CVT_imm_95_100, 0, CVT_regCR0, 0, CVT_Done },
1043
  // Convert__imm_95_100__RegCRRC1_0
1044
  { CVT_imm_95_100, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1045
  // Convert__imm_95_103__regCR0
1046
  { CVT_imm_95_103, 0, CVT_regCR0, 0, CVT_Done },
1047
  // Convert__imm_95_103__RegCRRC1_0
1048
  { CVT_imm_95_103, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1049
  // Convert__imm_95_102__regCR0
1050
  { CVT_imm_95_102, 0, CVT_regCR0, 0, CVT_Done },
1051
  // Convert__imm_95_102__RegCRRC1_0
1052
  { CVT_imm_95_102, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1053
  // Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2
1054
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1055
  // Convert__imm_95_108__regCR0__CondBr1_0
1056
  { CVT_imm_95_108, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
1057
  // Convert__imm_95_108__RegCRRC1_0__CondBr1_1
1058
  { CVT_imm_95_108, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1059
  // Convert__imm_95_111__regCR0__CondBr1_0
1060
  { CVT_imm_95_111, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
1061
  // Convert__imm_95_111__RegCRRC1_0__CondBr1_1
1062
  { CVT_imm_95_111, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1063
  // Convert__imm_95_110__regCR0__CondBr1_0
1064
  { CVT_imm_95_110, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done },
1065
  // Convert__imm_95_110__RegCRRC1_0__CondBr1_1
1066
  { CVT_imm_95_110, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1067
  // Convert__imm_95_108__regCR0
1068
  { CVT_imm_95_108, 0, CVT_regCR0, 0, CVT_Done },
1069
  // Convert__imm_95_108__RegCRRC1_0
1070
  { CVT_imm_95_108, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1071
  // Convert__imm_95_111__regCR0
1072
  { CVT_imm_95_111, 0, CVT_regCR0, 0, CVT_Done },
1073
  // Convert__imm_95_111__RegCRRC1_0
1074
  { CVT_imm_95_111, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1075
  // Convert__imm_95_110__regCR0
1076
  { CVT_imm_95_110, 0, CVT_regCR0, 0, CVT_Done },
1077
  // Convert__imm_95_110__RegCRRC1_0
1078
  { CVT_imm_95_110, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done },
1079
  // Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1
1080
  { CVT_imm_95_12, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1081
  // Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1
1082
  { CVT_imm_95_15, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1083
  // Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1
1084
  { CVT_imm_95_14, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done },
1085
  // Convert__imm_95_12__RegCRBITRC1_0__imm_95_0
1086
  { CVT_imm_95_12, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
1087
  // Convert__imm_95_15__RegCRBITRC1_0__imm_95_0
1088
  { CVT_imm_95_15, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
1089
  // Convert__imm_95_14__RegCRBITRC1_0__imm_95_0
1090
  { CVT_imm_95_14, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
1091
  // Convert__RegG8RC1_0__RegG8RC1_1__imm_95_0__U6Imm1_2
1092
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_imm_95_0, 0, CVT_95_addImmOperands, 3, CVT_Done },
1093
  // Convert__RegG8RC1_0__RegGPRC1_1__imm_95_0__U6Imm1_2
1094
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_imm_95_0, 0, CVT_95_addImmOperands, 3, CVT_Done },
1095
  // Convert__RegG8RC1_1__RegG8RC1_2__imm_95_0__U6Imm1_3
1096
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_imm_95_0, 0, CVT_95_addImmOperands, 4, CVT_Done },
1097
  // Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3
1098
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1099
  // Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4
1100
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1101
  // Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3
1102
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1103
  // Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4
1104
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1105
  // Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0__U5Imm1_2__imm_95_31
1106
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_imm_95_0, 0, CVT_95_addImmOperands, 3, CVT_imm_95_31, 0, CVT_Done },
1107
  // Convert__RegGPRC1_1__RegGPRC1_2__imm_95_0__U5Imm1_3__imm_95_31
1108
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_imm_95_0, 0, CVT_95_addImmOperands, 4, CVT_imm_95_31, 0, CVT_Done },
1109
  // Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2
1110
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1111
  // Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3
1112
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1113
  // Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2
1114
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1115
  // Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3
1116
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1117
  // Convert__RegCRRC1_0__RegGPRC1_2__RegGPRC1_3
1118
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_Done },
1119
  // Convert__RegCRRC1_0__RegG8RC1_2__RegG8RC1_3
1120
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 4, CVT_Done },
1121
  // Convert__regCR0__RegG8RC1_0__RegG8RC1_1
1122
  { CVT_regCR0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1123
  // Convert__RegCRRC1_0__RegG8RC1_1__RegG8RC1_2
1124
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1125
  // Convert__regCR0__RegG8RC1_0__S16Imm1_1
1126
  { CVT_regCR0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1127
  // Convert__RegCRRC1_0__RegG8RC1_1__S16Imm1_2
1128
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done },
1129
  // Convert__RegCRBITRC1_0__RegG8RC1_1__RegG8RC1_2
1130
  { CVT_95_addRegCRBITRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1131
  // Convert__RegCRRC1_0__RegGPRC1_2__S16Imm1_3
1132
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 3, CVT_95_addS16ImmOperands, 4, CVT_Done },
1133
  // Convert__RegCRRC1_0__RegG8RC1_2__S16Imm1_3
1134
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 3, CVT_95_addS16ImmOperands, 4, CVT_Done },
1135
  // Convert__regCR0__RegG8RC1_0__U16Imm1_1
1136
  { CVT_regCR0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addU16ImmOperands, 2, CVT_Done },
1137
  // Convert__RegCRRC1_0__RegG8RC1_1__U16Imm1_2
1138
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addU16ImmOperands, 3, CVT_Done },
1139
  // Convert__RegCRRC1_0__RegGPRC1_2__U16Imm1_3
1140
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 3, CVT_95_addU16ImmOperands, 4, CVT_Done },
1141
  // Convert__RegCRRC1_0__RegG8RC1_2__U16Imm1_3
1142
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 3, CVT_95_addU16ImmOperands, 4, CVT_Done },
1143
  // Convert__regCR0__RegGPRC1_0__RegGPRC1_1
1144
  { CVT_regCR0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1145
  // Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2
1146
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done },
1147
  // Convert__regCR0__RegGPRC1_0__U16Imm1_1
1148
  { CVT_regCR0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addU16ImmOperands, 2, CVT_Done },
1149
  // Convert__RegCRRC1_0__RegGPRC1_1__U16Imm1_2
1150
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addU16ImmOperands, 3, CVT_Done },
1151
  // Convert__RegCRBITRC1_0__U1Imm1_1__RegG8RC1_2__RegG8RC1_3
1152
  { CVT_95_addRegCRBITRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 4, CVT_Done },
1153
  // Convert__regCR0__RegGPRC1_0__S16Imm1_1
1154
  { CVT_regCR0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1155
  // Convert__RegCRRC1_0__RegGPRC1_1__S16Imm1_2
1156
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done },
1157
  // Convert__RegG8RC1_0__RegG8RC1_1
1158
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1159
  // Convert__RegG8RC1_1__RegG8RC1_2
1160
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1161
  // Convert__RegGPRC1_0__RegGPRC1_1__U1Imm1_2
1162
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1163
  // Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2
1164
  { CVT_95_addRegCRBITRCOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addRegCRBITRCOperands, 3, CVT_Done },
1165
  // Convert__RegCRBITRC1_0__RegCRBITRC1_0__RegCRBITRC1_0
1166
  { CVT_95_addRegCRBITRCOperands, 1, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addRegCRBITRCOperands, 1, CVT_Done },
1167
  // Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_1
1168
  { CVT_95_addRegCRBITRCOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addRegCRBITRCOperands, 2, CVT_Done },
1169
  // Convert__RegGxRCNoR01_0__RegGxRC1_1
1170
  { CVT_95_addRegGxRCNoR0Operands, 1, CVT_95_addRegGxRCOperands, 2, CVT_Done },
1171
  // Convert__U5Imm1_2__RegGxRCNoR01_0__RegGxRC1_1
1172
  { CVT_95_addImmOperands, 3, CVT_95_addRegGxRCNoR0Operands, 1, CVT_95_addRegGxRCOperands, 2, CVT_Done },
1173
  // Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2
1174
  { CVT_95_addRegGxRCNoR0Operands, 1, CVT_95_addRegGxRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1175
  // Convert__regR0__regR0
1176
  { CVT_regR0, 0, CVT_regR0, 0, CVT_Done },
1177
  // Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3
1178
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 4, CVT_Done },
1179
  // Convert__U5Imm1_0
1180
  { CVT_95_addImmOperands, 1, CVT_Done },
1181
  // Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1
1182
  { CVT_95_addImmOperands, 3, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1183
  // Convert__RegGPRC1_0__RegGPRC1_2__U5Imm1_1
1184
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 2, CVT_Done },
1185
  // Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2
1186
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1187
  // Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2
1188
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1189
  // Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2
1190
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1191
  // Convert__RegGPRC1_0__Imm1_1
1192
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1193
  // Convert__RegGPRC1_0__U5Imm1_1__RegGPRC1_2
1194
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done },
1195
  // Convert__RegF4RC1_0__RegF4RC1_1
1196
  { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF4RCOperands, 2, CVT_Done },
1197
  // Convert__RegF4RC1_1__RegF4RC1_2
1198
  { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_Done },
1199
  // Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2
1200
  { CVT_95_addRegF8RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_Done },
1201
  // Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3
1202
  { CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addRegF8RCOperands, 4, CVT_Done },
1203
  // Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2
1204
  { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_Done },
1205
  // Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3
1206
  { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_Done },
1207
  // Convert__RegF8RC1_0__RegF8RC1_1
1208
  { CVT_95_addRegF8RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_Done },
1209
  // Convert__RegF8RC1_1__RegF8RC1_2
1210
  { CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_Done },
1211
  // Convert__RegF4RC1_0__RegF8RC1_1
1212
  { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_Done },
1213
  // Convert__RegF4RC1_1__RegF8RC1_2
1214
  { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_Done },
1215
  // Convert__RegCRRC1_0__RegF4RC1_1__RegF4RC1_2
1216
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_Done },
1217
  // Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3
1218
  { CVT_95_addRegF8RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addRegF8RCOperands, 4, CVT_Done },
1219
  // Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4
1220
  { CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addRegF8RCOperands, 4, CVT_95_addRegF8RCOperands, 5, CVT_Done },
1221
  // Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3
1222
  { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_Done },
1223
  // Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4
1224
  { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_95_addRegF4RCOperands, 5, CVT_Done },
1225
  // Convert__RegF4RC1_0__RegF8RC1_1__RegF4RC1_2__RegF4RC1_3
1226
  { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_Done },
1227
  // Convert__RegF4RC1_1__RegF8RC1_2__RegF4RC1_3__RegF4RC1_4
1228
  { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_95_addRegF4RCOperands, 5, CVT_Done },
1229
  // Convert__RegCRRC1_0__RegF8RC1_1__RegF8RC1_2
1230
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_Done },
1231
  // Convert__RegCRRC1_0__RegF8RC1_1
1232
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_Done },
1233
  // Convert__U4Imm1_0__RegGxRCNoR01_1__RegGxRC1_2
1234
  { CVT_95_addImmOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1235
  // Convert__U4Imm1_1__RegGxRCNoR01_2__RegGxRC1_3
1236
  { CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_95_addRegGxRCOperands, 4, CVT_Done },
1237
  // Convert__RegGPRC1_0__RegGPRCNoR01_1__RegGPRC1_2__RegCRBITRC1_3
1238
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCNoR0Operands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegCRBITRCOperands, 4, CVT_Done },
1239
  // Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2
1240
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1241
  // Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2
1242
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1243
  // Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2
1244
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_0, 0, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1245
  // Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2
1246
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1247
  // Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2
1248
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1249
  // Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2
1250
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1251
  // Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2
1252
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1253
  // Convert__RegG8RC1_0__imm_95_0__DispRIX1_1__RegGxRCNoR01_2
1254
  { CVT_95_addRegG8RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1255
  // Convert__RegG8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2
1256
  { CVT_95_addRegG8RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1257
  // Convert__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2
1258
  { CVT_95_addRegF8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1259
  // Convert__RegF8RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2
1260
  { CVT_95_addRegF8RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1261
  // Convert__RegF8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2
1262
  { CVT_95_addRegF8RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1263
  // Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2
1264
  { CVT_95_addRegF8RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1265
  // Convert__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2
1266
  { CVT_95_addRegF4RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1267
  // Convert__RegF4RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2
1268
  { CVT_95_addRegF4RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1269
  // Convert__RegF4RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2
1270
  { CVT_95_addRegF4RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1271
  // Convert__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2
1272
  { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1273
  // Convert__RegGPRC1_0__S16Imm1_1
1274
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1275
  // Convert__RegGPRC1_0__S17Imm1_1
1276
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1277
  // Convert__RegG8RC1_0__imm_95_0
1278
  { CVT_95_addRegG8RCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
1279
  // Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2
1280
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1281
  // Convert__imm_95_1
1282
  { CVT_imm_95_1, 0, CVT_Done },
1283
  // Convert__RegVFRC1_0__DispRIX1_1__RegGxRCNoR01_2
1284
  { CVT_95_addRegVFRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1285
  // Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2
1286
  { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1287
  // Convert__RegVSSRC1_0__RegGxRCNoR01_1__RegGxRC1_2
1288
  { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1289
  // Convert__RegVSRC1_0__DispRIX161_1__RegGxRCNoR01_2
1290
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1291
  // Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2
1292
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1293
  // Convert__RegVSRC1_0__Imm1_1__RegG8RC1_2
1294
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1295
  // Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3
1296
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 4, CVT_Done },
1297
  // Convert__imm_95_0
1298
  { CVT_imm_95_0, 0, CVT_Done },
1299
  // Convert__RegCRRC1_0__RegCRRC1_1
1300
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegCRRCOperands, 2, CVT_Done },
1301
  // Convert__RegCRRC1_0
1302
  { CVT_95_addRegCRRCOperands, 1, CVT_Done },
1303
  // Convert__RegGPRC1_0__imm_95_29
1304
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_29, 0, CVT_Done },
1305
  // Convert__RegGPRC1_0__imm_95_280
1306
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_280, 0, CVT_Done },
1307
  // Convert__RegGPRC1_0__U10Imm1_1__imm_95_0
1308
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done },
1309
  // Convert__RegGPRC1_0__imm_95_128
1310
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_128, 0, CVT_Done },
1311
  // Convert__RegGPRC1_0__imm_95_129
1312
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_129, 0, CVT_Done },
1313
  // Convert__RegGPRC1_0__imm_95_130
1314
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_130, 0, CVT_Done },
1315
  // Convert__RegGPRC1_0__imm_95_131
1316
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_131, 0, CVT_Done },
1317
  // Convert__RegGPRC1_0__imm_95_132
1318
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_132, 0, CVT_Done },
1319
  // Convert__RegGPRC1_0__imm_95_133
1320
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_133, 0, CVT_Done },
1321
  // Convert__RegGPRC1_0__imm_95_134
1322
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_134, 0, CVT_Done },
1323
  // Convert__RegGPRC1_0__imm_95_135
1324
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_135, 0, CVT_Done },
1325
  // Convert__RegGPRC1_0__imm_95_28
1326
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_28, 0, CVT_Done },
1327
  // Convert__RegGPRC1_0
1328
  { CVT_95_addRegGPRCOperands, 1, CVT_Done },
1329
  // Convert__RegGPRC1_0__imm_95_19
1330
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_19, 0, CVT_Done },
1331
  // Convert__RegGPRC1_0__imm_95_537
1332
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_537, 0, CVT_Done },
1333
  // Convert__RegGPRC1_0__imm_95_539
1334
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_539, 0, CVT_Done },
1335
  // Convert__RegGPRC1_0__imm_95_541
1336
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_541, 0, CVT_Done },
1337
  // Convert__RegGPRC1_0__imm_95_543
1338
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_543, 0, CVT_Done },
1339
  // Convert__RegGPRC1_0__imm_95_536
1340
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_536, 0, CVT_Done },
1341
  // Convert__RegGPRC1_0__imm_95_538
1342
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_538, 0, CVT_Done },
1343
  // Convert__RegGPRC1_0__imm_95_540
1344
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_540, 0, CVT_Done },
1345
  // Convert__RegGPRC1_0__imm_95_542
1346
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_542, 0, CVT_Done },
1347
  // Convert__RegGPRC1_0__imm_95_1018
1348
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_1018, 0, CVT_Done },
1349
  // Convert__RegGPRC1_0__imm_95_981
1350
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_981, 0, CVT_Done },
1351
  // Convert__RegGPRC1_0__imm_95_22
1352
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_22, 0, CVT_Done },
1353
  // Convert__RegGPRC1_0__imm_95_17
1354
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_17, 0, CVT_Done },
1355
  // Convert__RegGPRC1_0__imm_95_18
1356
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_18, 0, CVT_Done },
1357
  // Convert__RegGPRC1_0__imm_95_980
1358
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_980, 0, CVT_Done },
1359
  // Convert__RegG8RC1_0__RegF8RC1_1
1360
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_Done },
1361
  // Convert__RegF8RC1_0
1362
  { CVT_95_addRegF8RCOperands, 1, CVT_Done },
1363
  // Convert__RegF8RC1_1
1364
  { CVT_95_addRegF8RCOperands, 2, CVT_Done },
1365
  // Convert__RegF8RC1_0__U3Imm1_1
1366
  { CVT_95_addRegF8RCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1367
  // Convert__RegF8RC1_0__U2Imm1_1
1368
  { CVT_95_addRegF8RCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1369
  // Convert__RegGPRC1_0__imm_95_529
1370
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_529, 0, CVT_Done },
1371
  // Convert__RegGPRC1_0__imm_95_531
1372
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_531, 0, CVT_Done },
1373
  // Convert__RegGPRC1_0__imm_95_533
1374
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_533, 0, CVT_Done },
1375
  // Convert__RegGPRC1_0__imm_95_535
1376
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_535, 0, CVT_Done },
1377
  // Convert__RegGPRC1_0__imm_95_528
1378
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_528, 0, CVT_Done },
1379
  // Convert__RegGPRC1_0__imm_95_530
1380
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_530, 0, CVT_Done },
1381
  // Convert__RegGPRC1_0__imm_95_532
1382
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_532, 0, CVT_Done },
1383
  // Convert__RegGPRC1_0__imm_95_534
1384
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_534, 0, CVT_Done },
1385
  // Convert__RegGPRC1_0__imm_95_1019
1386
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_1019, 0, CVT_Done },
1387
  // Convert__RegGPRC1_0__CRBitMask1_1
1388
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addCRBitMaskOperands, 2, CVT_Done },
1389
  // Convert__RegGPRC1_0__imm_95_48
1390
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_48, 0, CVT_Done },
1391
  // Convert__RegGPRC1_0__imm_95_287
1392
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_287, 0, CVT_Done },
1393
  // Convert__RegGPRC1_0__imm_95_5
1394
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_5, 0, CVT_Done },
1395
  // Convert__RegGPRC1_0__imm_95_4
1396
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_4, 0, CVT_Done },
1397
  // Convert__RegGPRC1_0__imm_95_25
1398
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_25, 0, CVT_Done },
1399
  // Convert__RegGPRC1_0__imm_95_512
1400
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_512, 0, CVT_Done },
1401
  // Convert__RegGPRC1_0__imm_95_272
1402
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_272, 0, CVT_Done },
1403
  // Convert__RegGPRC1_0__imm_95_273
1404
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_273, 0, CVT_Done },
1405
  // Convert__RegGPRC1_0__imm_95_274
1406
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_274, 0, CVT_Done },
1407
  // Convert__RegGPRC1_0__imm_95_275
1408
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_275, 0, CVT_Done },
1409
  // Convert__RegGPRC1_0__imm_95_260
1410
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_260, 0, CVT_Done },
1411
  // Convert__RegGPRC1_0__imm_95_261
1412
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_261, 0, CVT_Done },
1413
  // Convert__RegGPRC1_0__imm_95_262
1414
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_262, 0, CVT_Done },
1415
  // Convert__RegGPRC1_0__imm_95_263
1416
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_263, 0, CVT_Done },
1417
  // Convert__RegGPRC1_0__U4Imm1_1
1418
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1419
  // Convert__RegGPRC1_0__imm_95_26
1420
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_26, 0, CVT_Done },
1421
  // Convert__RegGPRC1_0__imm_95_27
1422
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_27, 0, CVT_Done },
1423
  // Convert__RegGPRC1_0__imm_95_990
1424
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_990, 0, CVT_Done },
1425
  // Convert__RegGPRC1_0__imm_95_991
1426
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_991, 0, CVT_Done },
1427
  // Convert__RegGPRC1_0__imm_95_268
1428
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_268, 0, CVT_Done },
1429
  // Convert__RegGPRC1_0__imm_95_988
1430
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_988, 0, CVT_Done },
1431
  // Convert__RegGPRC1_0__imm_95_989
1432
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_989, 0, CVT_Done },
1433
  // Convert__RegGPRC1_0__imm_95_269
1434
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_269, 0, CVT_Done },
1435
  // Convert__RegGPRC1_0__imm_95_986
1436
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_986, 0, CVT_Done },
1437
  // Convert__RegG8RC1_0__RegVRRC1_1
1438
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_Done },
1439
  // Convert__RegVRRC1_0
1440
  { CVT_95_addRegVRRCOperands, 1, CVT_Done },
1441
  // Convert__RegG8RC1_0__RegVSFRC1_1
1442
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done },
1443
  // Convert__RegG8RC1_0__RegVSRC1_1
1444
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_Done },
1445
  // Convert__RegGPRC1_0__RegVSFRC1_1
1446
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done },
1447
  // Convert__RegGPRC1_0__imm_95_1
1448
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_1, 0, CVT_Done },
1449
  // Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_1
1450
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1451
  // Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_2
1452
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1453
  // Convert__imm_95_29__RegGPRC1_0
1454
  { CVT_imm_95_29, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1455
  // Convert__imm_95_280__RegGPRC1_0
1456
  { CVT_imm_95_280, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1457
  // Convert__imm_95_28__RegGPRC1_0
1458
  { CVT_imm_95_28, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1459
  // Convert__imm_95_255__RegG8RC1_0
1460
  { CVT_imm_95_255, 0, CVT_95_addRegG8RCOperands, 1, CVT_Done },
1461
  // Convert__Imm1_0__RegGPRC1_1
1462
  { CVT_95_addImmOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1463
  // Convert__imm_95_19__RegGPRC1_0
1464
  { CVT_imm_95_19, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1465
  // Convert__imm_95_537__RegGPRC1_1
1466
  { CVT_imm_95_537, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1467
  // Convert__imm_95_539__RegGPRC1_1
1468
  { CVT_imm_95_539, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1469
  // Convert__imm_95_541__RegGPRC1_1
1470
  { CVT_imm_95_541, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1471
  // Convert__imm_95_543__RegGPRC1_1
1472
  { CVT_imm_95_543, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1473
  // Convert__imm_95_536__RegGPRC1_1
1474
  { CVT_imm_95_536, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1475
  // Convert__imm_95_538__RegGPRC1_1
1476
  { CVT_imm_95_538, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1477
  // Convert__imm_95_540__RegGPRC1_1
1478
  { CVT_imm_95_540, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1479
  // Convert__imm_95_542__RegGPRC1_1
1480
  { CVT_imm_95_542, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1481
  // Convert__imm_95_1018__RegGPRC1_0
1482
  { CVT_imm_95_1018, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1483
  // Convert__RegGPRC1_1__Imm1_0
1484
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
1485
  // Convert__imm_95_981__RegGPRC1_0
1486
  { CVT_imm_95_981, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1487
  // Convert__imm_95_22__RegGPRC1_0
1488
  { CVT_imm_95_22, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1489
  // Convert__imm_95_17__RegGPRC1_0
1490
  { CVT_imm_95_17, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1491
  // Convert__imm_95_18__RegGPRC1_0
1492
  { CVT_imm_95_18, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1493
  // Convert__imm_95_980__RegGPRC1_0
1494
  { CVT_imm_95_980, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1495
  // Convert__Imm1_0__RegF8RC1_1__imm_95_0__imm_95_0
1496
  { CVT_95_addImmOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
1497
  // Convert__Imm1_1__RegF8RC1_2__imm_95_0__imm_95_0
1498
  { CVT_95_addImmOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
1499
  // Convert__Imm1_0__RegF8RC1_1__Imm1_2__Imm1_3
1500
  { CVT_95_addImmOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1501
  // Convert__Imm1_1__RegF8RC1_2__Imm1_3__Imm1_4
1502
  { CVT_95_addImmOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1503
  // Convert__RegCRRC1_0__Imm1_1__imm_95_0
1504
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done },
1505
  // Convert__RegCRRC1_1__Imm1_2__imm_95_0
1506
  { CVT_95_addRegCRRCOperands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
1507
  // Convert__RegCRRC1_0__Imm1_1__Imm1_2
1508
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1509
  // Convert__RegCRRC1_1__Imm1_2__Imm1_3
1510
  { CVT_95_addRegCRRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1511
  // Convert__imm_95_529__RegGPRC1_1
1512
  { CVT_imm_95_529, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1513
  // Convert__imm_95_531__RegGPRC1_1
1514
  { CVT_imm_95_531, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1515
  // Convert__imm_95_533__RegGPRC1_1
1516
  { CVT_imm_95_533, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1517
  // Convert__imm_95_535__RegGPRC1_1
1518
  { CVT_imm_95_535, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1519
  // Convert__imm_95_528__RegGPRC1_1
1520
  { CVT_imm_95_528, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1521
  // Convert__imm_95_530__RegGPRC1_1
1522
  { CVT_imm_95_530, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1523
  // Convert__imm_95_532__RegGPRC1_1
1524
  { CVT_imm_95_532, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1525
  // Convert__imm_95_534__RegGPRC1_1
1526
  { CVT_imm_95_534, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1527
  // Convert__imm_95_1019__RegGPRC1_0
1528
  { CVT_imm_95_1019, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1529
  // Convert__RegGPRC1_0__imm_95_0
1530
  { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
1531
  // Convert__CRBitMask1_0__RegGPRC1_1
1532
  { CVT_95_addCRBitMaskOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1533
  // Convert__imm_95_48__RegGPRC1_0
1534
  { CVT_imm_95_48, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1535
  // Convert__imm_95_25__RegGPRC1_0
1536
  { CVT_imm_95_25, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1537
  // Convert__imm_95_512__RegGPRC1_0
1538
  { CVT_imm_95_512, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1539
  // Convert__imm_95_272__RegGPRC1_1
1540
  { CVT_imm_95_272, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1541
  // Convert__imm_95_273__RegGPRC1_1
1542
  { CVT_imm_95_273, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1543
  // Convert__imm_95_274__RegGPRC1_1
1544
  { CVT_imm_95_274, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1545
  // Convert__imm_95_275__RegGPRC1_1
1546
  { CVT_imm_95_275, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1547
  // Convert__imm_95_260__RegGPRC1_1
1548
  { CVT_imm_95_260, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1549
  // Convert__imm_95_261__RegGPRC1_1
1550
  { CVT_imm_95_261, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1551
  // Convert__imm_95_262__RegGPRC1_1
1552
  { CVT_imm_95_262, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1553
  // Convert__imm_95_263__RegGPRC1_1
1554
  { CVT_imm_95_263, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1555
  // Convert__imm_95_272__RegGPRC1_0
1556
  { CVT_imm_95_272, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1557
  // Convert__imm_95_273__RegGPRC1_0
1558
  { CVT_imm_95_273, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1559
  // Convert__imm_95_274__RegGPRC1_0
1560
  { CVT_imm_95_274, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1561
  // Convert__imm_95_275__RegGPRC1_0
1562
  { CVT_imm_95_275, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1563
  // Convert__imm_95_260__RegGPRC1_0
1564
  { CVT_imm_95_260, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1565
  // Convert__imm_95_261__RegGPRC1_0
1566
  { CVT_imm_95_261, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1567
  // Convert__imm_95_262__RegGPRC1_0
1568
  { CVT_imm_95_262, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1569
  // Convert__imm_95_263__RegGPRC1_0
1570
  { CVT_imm_95_263, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1571
  // Convert__RegGPRC1_1__U4Imm1_0
1572
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 1, CVT_Done },
1573
  // Convert__imm_95_26__RegGPRC1_0
1574
  { CVT_imm_95_26, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1575
  // Convert__imm_95_27__RegGPRC1_0
1576
  { CVT_imm_95_27, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1577
  // Convert__imm_95_990__RegGPRC1_0
1578
  { CVT_imm_95_990, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1579
  // Convert__imm_95_991__RegGPRC1_0
1580
  { CVT_imm_95_991, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1581
  // Convert__imm_95_988__RegGPRC1_0
1582
  { CVT_imm_95_988, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1583
  // Convert__imm_95_284__RegGPRC1_0
1584
  { CVT_imm_95_284, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1585
  // Convert__imm_95_989__RegGPRC1_0
1586
  { CVT_imm_95_989, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1587
  // Convert__imm_95_285__RegGPRC1_0
1588
  { CVT_imm_95_285, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1589
  // Convert__imm_95_986__RegGPRC1_0
1590
  { CVT_imm_95_986, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1591
  // Convert__RegVSFRC1_0__RegG8RC1_1
1592
  { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1593
  // Convert__RegVSRC1_0__RegG8RCNoX01_1__RegG8RC1_2
1594
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegG8RCNoX0Operands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1595
  // Convert__RegVSFRC1_0__RegGPRC1_1
1596
  { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1597
  // Convert__RegVSRC1_0__RegGPRC1_1
1598
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1599
  // Convert__imm_95_1__RegGPRC1_0
1600
  { CVT_imm_95_1, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1601
  // Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2
1602
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addU16ImmOperands, 3, CVT_Done },
1603
  // Convert__RegGPRC1_1__RegGPRC1_2__U1Imm1_3
1604
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1605
  // Convert__imm_95_2
1606
  { CVT_imm_95_2, 0, CVT_Done },
1607
  // Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__U2Imm1_3
1608
  { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1609
  // Convert__RegQFRC1_0__RegQFRC1_1__U2Imm1_2
1610
  { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1611
  // Convert__RegQFRC1_0__RegQFRC1_1
1612
  { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_Done },
1613
  // Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2
1614
  { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 3, CVT_Done },
1615
  // Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2
1616
  { CVT_95_addRegQSRCOperands, 1, CVT_95_addRegQSRCOperands, 2, CVT_95_addRegQSRCOperands, 3, CVT_Done },
1617
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_1
1618
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_1, 0, CVT_Done },
1619
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_4
1620
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_4, 0, CVT_Done },
1621
  // Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_0
1622
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 1, CVT_imm_95_0, 0, CVT_Done },
1623
  // Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2
1624
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 3, CVT_Done },
1625
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_5
1626
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 2, CVT_imm_95_5, 0, CVT_Done },
1627
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_9
1628
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_9, 0, CVT_Done },
1629
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__U12Imm1_3
1630
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1631
  // Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2
1632
  { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 4, CVT_95_addRegQFRCOperands, 3, CVT_Done },
1633
  // Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2
1634
  { CVT_95_addRegQSRCOperands, 1, CVT_95_addRegQSRCOperands, 2, CVT_95_addRegQSRCOperands, 4, CVT_95_addRegQSRCOperands, 3, CVT_Done },
1635
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_14
1636
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_14, 0, CVT_Done },
1637
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_8
1638
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_8, 0, CVT_Done },
1639
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_10
1640
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 2, CVT_imm_95_10, 0, CVT_Done },
1641
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_7
1642
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_7, 0, CVT_Done },
1643
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_13
1644
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_13, 0, CVT_Done },
1645
  // Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__RegQFRC1_3
1646
  { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 3, CVT_95_addRegQFRCOperands, 4, CVT_Done },
1647
  // Convert__RegQSRC1_0__RegQFRC1_1
1648
  { CVT_95_addRegQSRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_Done },
1649
  // Convert__RegQFRC1_0__RegQBRC1_1__RegQFRC1_3__RegQFRC1_2
1650
  { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQFRCOperands, 4, CVT_95_addRegQFRCOperands, 3, CVT_Done },
1651
  // Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_15
1652
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 1, CVT_imm_95_15, 0, CVT_Done },
1653
  // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_6
1654
  { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_6, 0, CVT_Done },
1655
  // Convert__RegQFRC1_0__U12Imm1_1
1656
  { CVT_95_addRegQFRCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1657
  // Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2
1658
  { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1659
  // Convert__RegQFRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2
1660
  { CVT_95_addRegQFRCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1661
  // Convert__RegQSRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2
1662
  { CVT_95_addRegQSRCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1663
  // Convert__imm_95_0__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2
1664
  { CVT_imm_95_0, 0, CVT_95_addRegQFRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1665
  // Convert__imm_95_0__RegQSRC1_0__RegGxRCNoR01_1__RegGxRC1_2
1666
  { CVT_imm_95_0, 0, CVT_95_addRegQSRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1667
  // Convert__U1Imm1_0
1668
  { CVT_95_addImmOperands, 1, CVT_Done },
1669
  // Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__U6Imm1_3
1670
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1671
  // Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__U6Imm1_4
1672
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1673
  // Convert__RegG8RC1_0__Tie0__RegG8RC1_1__U6Imm1_2__U6Imm1_3
1674
  { CVT_95_addRegG8RCOperands, 1, CVT_Tied, 0, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1675
  // Convert__RegG8RC1_1__Tie0__RegG8RC1_2__U6Imm1_3__U6Imm1_4
1676
  { CVT_95_addRegG8RCOperands, 2, CVT_Tied, 0, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1677
  // Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3
1678
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1679
  // Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4
1680
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1681
  // Convert__RegGPRC1_0__Tie0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4
1682
  { CVT_95_addRegGPRCOperands, 1, CVT_Tied, 0, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1683
  // Convert__RegGPRC1_1__Tie0__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5
1684
  { CVT_95_addRegGPRCOperands, 2, CVT_Tied, 0, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
1685
  // Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4
1686
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1687
  // Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5
1688
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
1689
  // Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4
1690
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1691
  // Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__U5Imm1_4__U5Imm1_5
1692
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
1693
  // Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__imm_95_0
1694
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_imm_95_0, 0, CVT_Done },
1695
  // Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__imm_95_0
1696
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_imm_95_0, 0, CVT_Done },
1697
  // Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__imm_95_0
1698
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
1699
  // Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__imm_95_0
1700
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_imm_95_0, 0, CVT_Done },
1701
  // Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__imm_95_0__imm_95_31
1702
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done },
1703
  // Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__imm_95_0__imm_95_31
1704
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done },
1705
  // Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__imm_95_0__imm_95_31
1706
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done },
1707
  // Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__imm_95_0__imm_95_31
1708
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done },
1709
  // Convert__Imm1_0
1710
  { CVT_95_addImmOperands, 1, CVT_Done },
1711
  // Convert__RegG8RC1_0__RegCRRC1_1
1712
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegCRRCOperands, 2, CVT_Done },
1713
  // Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2
1714
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done },
1715
  // Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3
1716
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_Done },
1717
  // Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3
1718
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_95_addRegGxRCOperands, 4, CVT_Done },
1719
  // Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2
1720
  { CVT_imm_95_0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1721
  // Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2
1722
  { CVT_imm_95_0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1723
  // Convert__RegG8RC1_1__RegGxRCNoR01_2__RegGxRC1_3
1724
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_95_addRegGxRCOperands, 4, CVT_Done },
1725
  // Convert__imm_95_0__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2
1726
  { CVT_imm_95_0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1727
  // Convert__imm_95_0__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2
1728
  { CVT_imm_95_0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1729
  // Convert__imm_95_0__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2
1730
  { CVT_imm_95_0, 0, CVT_95_addRegF8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1731
  // Convert__imm_95_0__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2
1732
  { CVT_imm_95_0, 0, CVT_95_addRegF8RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1733
  // Convert__imm_95_0__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2
1734
  { CVT_imm_95_0, 0, CVT_95_addRegF4RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done },
1735
  // Convert__imm_95_0__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2
1736
  { CVT_imm_95_0, 0, CVT_95_addRegF4RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done },
1737
  // Convert__RegG8RC1_0__RegG8RC1_2__RegG8RC1_1
1738
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1739
  // Convert__RegG8RC1_1__RegG8RC1_3__RegG8RC1_2
1740
  { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 4, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1741
  // Convert__RegG8RC1_0__S16Imm1_1
1742
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1743
  // Convert__imm_95_0__RegGPRC1_1
1744
  { CVT_imm_95_0, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1745
  // Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__RegGPRC1_3
1746
  { CVT_imm_95_0, 0, CVT_95_addImmOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_Done },
1747
  // Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__U5Imm1_3
1748
  { CVT_imm_95_0, 0, CVT_95_addImmOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1749
  // Convert__imm_95_0__U1Imm1_1
1750
  { CVT_imm_95_0, 0, CVT_95_addImmOperands, 2, CVT_Done },
1751
  // Convert__U5Imm1_0__RegG8RC1_1__RegG8RC1_2
1752
  { CVT_95_addImmOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1753
  // Convert__imm_95_4__RegG8RC1_0__RegG8RC1_1
1754
  { CVT_imm_95_4, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1755
  // Convert__imm_95_4__RegG8RC1_0__S16Imm1_1
1756
  { CVT_imm_95_4, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1757
  // Convert__imm_95_12__RegG8RC1_0__RegG8RC1_1
1758
  { CVT_imm_95_12, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1759
  // Convert__imm_95_12__RegG8RC1_0__S16Imm1_1
1760
  { CVT_imm_95_12, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1761
  // Convert__imm_95_8__RegG8RC1_0__RegG8RC1_1
1762
  { CVT_imm_95_8, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1763
  // Convert__imm_95_8__RegG8RC1_0__S16Imm1_1
1764
  { CVT_imm_95_8, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1765
  // Convert__U5Imm1_0__RegG8RC1_1__S16Imm1_2
1766
  { CVT_95_addImmOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done },
1767
  // Convert__imm_95_20__RegG8RC1_0__RegG8RC1_1
1768
  { CVT_imm_95_20, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1769
  // Convert__imm_95_20__RegG8RC1_0__S16Imm1_1
1770
  { CVT_imm_95_20, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1771
  // Convert__imm_95_5__RegG8RC1_0__RegG8RC1_1
1772
  { CVT_imm_95_5, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1773
  // Convert__imm_95_5__RegG8RC1_0__S16Imm1_1
1774
  { CVT_imm_95_5, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1775
  // Convert__imm_95_1__RegG8RC1_0__RegG8RC1_1
1776
  { CVT_imm_95_1, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1777
  // Convert__imm_95_1__RegG8RC1_0__S16Imm1_1
1778
  { CVT_imm_95_1, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1779
  // Convert__imm_95_6__RegG8RC1_0__RegG8RC1_1
1780
  { CVT_imm_95_6, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1781
  // Convert__imm_95_6__RegG8RC1_0__S16Imm1_1
1782
  { CVT_imm_95_6, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1783
  // Convert__imm_95_2__RegG8RC1_0__RegG8RC1_1
1784
  { CVT_imm_95_2, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1785
  // Convert__imm_95_2__RegG8RC1_0__S16Imm1_1
1786
  { CVT_imm_95_2, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1787
  // Convert__imm_95_16__RegG8RC1_0__RegG8RC1_1
1788
  { CVT_imm_95_16, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1789
  // Convert__imm_95_16__RegG8RC1_0__S16Imm1_1
1790
  { CVT_imm_95_16, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1791
  // Convert__imm_95_24__RegG8RC1_0__RegG8RC1_1
1792
  { CVT_imm_95_24, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1793
  // Convert__imm_95_24__RegG8RC1_0__S16Imm1_1
1794
  { CVT_imm_95_24, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1795
  // Convert__imm_95_31__RegG8RC1_0__RegG8RC1_1
1796
  { CVT_imm_95_31, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done },
1797
  // Convert__imm_95_31__RegG8RC1_0__S16Imm1_1
1798
  { CVT_imm_95_31, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1799
  // Convert__regR0__RegGPRC1_0
1800
  { CVT_regR0, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1801
  // Convert__RegGPRC1_1__RegGPRC1_0
1802
  { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 1, CVT_Done },
1803
  // Convert__RegGPRC1_0__RegGPRC1_1__Imm1_2
1804
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1805
  // Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0
1806
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_imm_95_0, 0, CVT_Done },
1807
  // Convert__RegGPRC1_0__RegGPRC1_1__imm_95_1
1808
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_imm_95_1, 0, CVT_Done },
1809
  // Convert__U5Imm1_0__RegGPRC1_1__RegGPRC1_2
1810
  { CVT_95_addImmOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done },
1811
  // Convert__imm_95_4__RegGPRC1_0__RegGPRC1_1
1812
  { CVT_imm_95_4, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1813
  // Convert__imm_95_4__RegGPRC1_0__S16Imm1_1
1814
  { CVT_imm_95_4, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1815
  // Convert__imm_95_12__RegGPRC1_0__RegGPRC1_1
1816
  { CVT_imm_95_12, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1817
  // Convert__imm_95_12__RegGPRC1_0__S16Imm1_1
1818
  { CVT_imm_95_12, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1819
  // Convert__imm_95_8__RegGPRC1_0__RegGPRC1_1
1820
  { CVT_imm_95_8, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1821
  // Convert__imm_95_8__RegGPRC1_0__S16Imm1_1
1822
  { CVT_imm_95_8, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1823
  // Convert__U5Imm1_0__RegGPRC1_1__S16Imm1_2
1824
  { CVT_95_addImmOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done },
1825
  // Convert__imm_95_20__RegGPRC1_0__RegGPRC1_1
1826
  { CVT_imm_95_20, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1827
  // Convert__imm_95_20__RegGPRC1_0__S16Imm1_1
1828
  { CVT_imm_95_20, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1829
  // Convert__imm_95_5__RegGPRC1_0__RegGPRC1_1
1830
  { CVT_imm_95_5, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1831
  // Convert__imm_95_5__RegGPRC1_0__S16Imm1_1
1832
  { CVT_imm_95_5, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1833
  // Convert__imm_95_1__RegGPRC1_0__RegGPRC1_1
1834
  { CVT_imm_95_1, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1835
  // Convert__imm_95_1__RegGPRC1_0__S16Imm1_1
1836
  { CVT_imm_95_1, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1837
  // Convert__imm_95_6__RegGPRC1_0__RegGPRC1_1
1838
  { CVT_imm_95_6, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1839
  // Convert__imm_95_6__RegGPRC1_0__S16Imm1_1
1840
  { CVT_imm_95_6, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1841
  // Convert__imm_95_2__RegGPRC1_0__RegGPRC1_1
1842
  { CVT_imm_95_2, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1843
  // Convert__imm_95_2__RegGPRC1_0__S16Imm1_1
1844
  { CVT_imm_95_2, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1845
  // Convert__imm_95_16__RegGPRC1_0__RegGPRC1_1
1846
  { CVT_imm_95_16, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1847
  // Convert__imm_95_16__RegGPRC1_0__S16Imm1_1
1848
  { CVT_imm_95_16, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1849
  // Convert__imm_95_24__RegGPRC1_0__RegGPRC1_1
1850
  { CVT_imm_95_24, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1851
  // Convert__imm_95_24__RegGPRC1_0__S16Imm1_1
1852
  { CVT_imm_95_24, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1853
  // Convert__imm_95_31__RegGPRC1_0__RegGPRC1_1
1854
  { CVT_imm_95_31, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done },
1855
  // Convert__imm_95_31__RegGPRC1_0__S16Imm1_1
1856
  { CVT_imm_95_31, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done },
1857
  // Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2
1858
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_Done },
1859
  // Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3
1860
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_95_addRegVRRCOperands, 4, CVT_Done },
1861
  // Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1
1862
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addImmOperands, 3, CVT_95_addRegVRRCOperands, 2, CVT_Done },
1863
  // Convert__RegVRRC1_0__RegVRRC1_1
1864
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_Done },
1865
  // Convert__RegGPRC1_0__RegVRRC1_1
1866
  { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_Done },
1867
  // Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1
1868
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addImmOperands, 3, CVT_95_addRegVRRCOperands, 2, CVT_Done },
1869
  // Convert__RegG8RC1_0__RegG8RC1_1__RegVRRC1_2
1870
  { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_Done },
1871
  // Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_1
1872
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 2, CVT_Done },
1873
  // Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__Tie0
1874
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_Tied, 0, CVT_Done },
1875
  // Convert__RegVRRC1_0__RegVRRC1_1__U1Imm1_2__U4Imm1_3
1876
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1877
  // Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__U5Imm1_3
1878
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1879
  // Convert__RegVRRC1_0__S5Imm1_1
1880
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1881
  // Convert__regR0__regR0__imm_95_0
1882
  { CVT_regR0, 0, CVT_regR0, 0, CVT_imm_95_0, 0, CVT_Done },
1883
  // Convert__RegVSFRC1_0__RegVSFRC1_1
1884
  { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done },
1885
  // Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2
1886
  { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_95_addRegVSFRCOperands, 3, CVT_Done },
1887
  // Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2
1888
  { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegVSSRCOperands, 2, CVT_95_addRegVSSRCOperands, 3, CVT_Done },
1889
  // Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2
1890
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_95_addRegVSFRCOperands, 3, CVT_Done },
1891
  // Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2
1892
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_95_addRegVSFRCOperands, 3, CVT_Done },
1893
  // Convert__RegCRRC1_0__RegVRRC1_1__RegVRRC1_2
1894
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_Done },
1895
  // Convert__RegVRRC1_0__RegVFRC1_1
1896
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVFRCOperands, 2, CVT_Done },
1897
  // Convert__RegVSRC1_0__RegVSSRC1_1
1898
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSSRCOperands, 2, CVT_Done },
1899
  // Convert__RegVSSRC1_0__RegVSRC1_1
1900
  { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_Done },
1901
  // Convert__RegVSSRC1_0__RegVSFRC1_1
1902
  { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done },
1903
  // Convert__RegVSRC1_0__RegG8RC1_1__RegG8RC1_2
1904
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done },
1905
  // Convert__RegVRRC1_0__RegVRRC1_1__RegVSFRC1_2
1906
  { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVSFRCOperands, 3, CVT_Done },
1907
  // Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2
1908
  { CVT_95_addRegVSFRCOperands, 1, CVT_Tied, 0, CVT_95_addRegVSFRCOperands, 2, CVT_95_addRegVSFRCOperands, 3, CVT_Done },
1909
  // Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2
1910
  { CVT_95_addRegVSSRCOperands, 1, CVT_Tied, 0, CVT_95_addRegVSSRCOperands, 2, CVT_95_addRegVSSRCOperands, 3, CVT_Done },
1911
  // Convert__RegVSSRC1_0__RegVSSRC1_1
1912
  { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegVSSRCOperands, 2, CVT_Done },
1913
  // Convert__RegVRRC1_1__U1Imm1_0__RegVRRC1_2__U2Imm1_3
1914
  { CVT_95_addRegVRRCOperands, 2, CVT_95_addImmOperands, 1, CVT_95_addRegVRRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1915
  // Convert__RegCRRC1_0__RegVSFRC1_1
1916
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done },
1917
  // Convert__RegCRRC1_0__U7Imm1_2__RegVSFRC1_1
1918
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addImmOperands, 3, CVT_95_addRegVSFRCOperands, 2, CVT_Done },
1919
  // Convert__RegCRRC1_0__U7Imm1_2__RegVRRC1_1
1920
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addImmOperands, 3, CVT_95_addRegVRRCOperands, 2, CVT_Done },
1921
  // Convert__RegVSRC1_0__RegVSRC1_1
1922
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_Done },
1923
  // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2
1924
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_Done },
1925
  // Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3
1926
  { CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_95_addRegVSRCOperands, 4, CVT_Done },
1927
  // Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2
1928
  { CVT_95_addRegVSRCOperands, 1, CVT_Tied, 0, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_Done },
1929
  // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1
1930
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 2, CVT_Done },
1931
  // Convert__RegCRRC1_0__RegVSRC1_1__RegVSRC1_2
1932
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_Done },
1933
  // Convert__RegCRRC1_0__RegVSRC1_1
1934
  { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_Done },
1935
  // Convert__RegVSRC1_0__U7Imm1_2__RegVSRC1_1
1936
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addImmOperands, 3, CVT_95_addRegVSRCOperands, 2, CVT_Done },
1937
  // Convert__RegVSFRC1_0__RegVSRC1_1__U4Imm1_2
1938
  { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1939
  // Convert__RegVSRC1_0__Tie0__RegVSRC1_1__U4Imm1_2
1940
  { CVT_95_addRegVSRCOperands, 1, CVT_Tied, 0, CVT_95_addRegVSRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1941
  // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_0
1942
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_imm_95_0, 0, CVT_Done },
1943
  // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_3
1944
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_imm_95_3, 0, CVT_Done },
1945
  // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__U2Imm1_3
1946
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1947
  // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3
1948
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_95_addRegVSRCOperands, 4, CVT_Done },
1949
  // Convert__RegVSRC1_0__RegVSFRC1_1__imm_95_0
1950
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_imm_95_0, 0, CVT_Done },
1951
  // Convert__RegVSRC1_0__RegVSFRC1_1__imm_95_3
1952
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_imm_95_3, 0, CVT_Done },
1953
  // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_0
1954
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 2, CVT_imm_95_0, 0, CVT_Done },
1955
  // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_3
1956
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 2, CVT_imm_95_3, 0, CVT_Done },
1957
  // Convert__RegVSRC1_0__U8Imm1_1
1958
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1959
  // Convert__RegVSRC1_0__RegVSRC1_1__U2Imm1_2
1960
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1961
  // Convert__RegVSRC1_0__RegVSFRC1_1__imm_95_2
1962
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_imm_95_2, 0, CVT_Done },
1963
  // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_2
1964
  { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 2, CVT_imm_95_2, 0, CVT_Done },
1965
};
1966
1967
void PPCAsmParser::
1968
convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
1969
5.73k
                const OperandVector &Operands) {
1970
5.73k
  assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
1971
5.73k
  const uint8_t *Converter = ConversionTable[Kind];
1972
5.73k
  unsigned OpIdx;
1973
5.73k
  Inst.setOpcode(Opcode);
1974
20.7k
  for (const uint8_t *p = Converter; 
*p20.7k
;
p+= 215.0k
) {
1975
15.0k
    OpIdx = *(p + 1);
1976
15.0k
    switch (*p) {
1977
0
    
default: 0
llvm_unreachable0
("invalid conversion entry!");
1978
0
    case CVT_Reg:
1979
0
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
1980
0
      break;
1981
78
    case CVT_Tied:
1982
78
      Inst.addOperand(Inst.getOperand(OpIdx));
1983
78
      break;
1984
823
    case CVT_95_addRegG8RCOperands:
1985
823
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegG8RCOperands(Inst, 1);
1986
823
      break;
1987
5
    case CVT_95_addTLSRegOperands:
1988
5
      static_cast<PPCOperand&>(*Operands[OpIdx]).addTLSRegOperands(Inst, 1);
1989
5
      break;
1990
2.99k
    case CVT_95_addRegGPRCOperands:
1991
2.99k
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegGPRCOperands(Inst, 1);
1992
2.99k
      break;
1993
263
    case CVT_95_addRegGPRCNoR0Operands:
1994
263
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegGPRCNoR0Operands(Inst, 1);
1995
263
      break;
1996
549
    case CVT_95_addS16ImmOperands:
1997
549
      static_cast<PPCOperand&>(*Operands[OpIdx]).addS16ImmOperands(Inst, 1);
1998
549
      break;
1999
880
    case CVT_95_addImmOperands:
2000
880
      static_cast<PPCOperand&>(*Operands[OpIdx]).addImmOperands(Inst, 1);
2001
880
      break;
2002
56
    case CVT_95_addU16ImmOperands:
2003
56
      static_cast<PPCOperand&>(*Operands[OpIdx]).addU16ImmOperands(Inst, 1);
2004
56
      break;
2005
808
    case CVT_95_addBranchTargetOperands:
2006
808
      static_cast<PPCOperand&>(*Operands[OpIdx]).addBranchTargetOperands(Inst, 1);
2007
808
      break;
2008
381
    case CVT_95_addRegCRBITRCOperands:
2009
381
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegCRBITRCOperands(Inst, 1);
2010
381
      break;
2011
12
    case CVT_imm_95_3:
2012
12
      Inst.addOperand(MCOperand::createImm(3));
2013
12
      break;
2014
36
    case CVT_imm_95_2:
2015
36
      Inst.addOperand(MCOperand::createImm(2));
2016
36
      break;
2017
335
    case CVT_imm_95_0:
2018
335
      Inst.addOperand(MCOperand::createImm(0));
2019
335
      break;
2020
1.81k
    case CVT_95_addRegVRRCOperands:
2021
1.81k
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegVRRCOperands(Inst, 1);
2022
1.81k
      break;
2023
21
    case CVT_imm_95_8:
2024
21
      Inst.addOperand(MCOperand::createImm(8));
2025
21
      break;
2026
13
    case CVT_imm_95_10:
2027
13
      Inst.addOperand(MCOperand::createImm(10));
2028
13
      break;
2029
60
    case CVT_imm_95_76:
2030
60
      Inst.addOperand(MCOperand::createImm(76));
2031
60
      break;
2032
613
    case CVT_regCR0:
2033
613
      Inst.addOperand(MCOperand::createReg(PPC::CR0));
2034
613
      break;
2035
712
    case CVT_95_addRegCRRCOperands:
2036
712
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegCRRCOperands(Inst, 1);
2037
712
      break;
2038
32
    case CVT_imm_95_79:
2039
32
      Inst.addOperand(MCOperand::createImm(79));
2040
32
      break;
2041
32
    case CVT_imm_95_78:
2042
32
      Inst.addOperand(MCOperand::createImm(78));
2043
32
      break;
2044
91
    case CVT_imm_95_4:
2045
91
      Inst.addOperand(MCOperand::createImm(4));
2046
91
      break;
2047
81
    case CVT_imm_95_7:
2048
81
      Inst.addOperand(MCOperand::createImm(7));
2049
81
      break;
2050
97
    case CVT_imm_95_6:
2051
97
      Inst.addOperand(MCOperand::createImm(6));
2052
97
      break;
2053
32
    case CVT_imm_95_44:
2054
32
      Inst.addOperand(MCOperand::createImm(44));
2055
32
      break;
2056
32
    case CVT_imm_95_47:
2057
32
      Inst.addOperand(MCOperand::createImm(47));
2058
32
      break;
2059
32
    case CVT_imm_95_46:
2060
32
      Inst.addOperand(MCOperand::createImm(46));
2061
32
      break;
2062
64
    case CVT_imm_95_36:
2063
64
      Inst.addOperand(MCOperand::createImm(36));
2064
64
      break;
2065
64
    case CVT_imm_95_39:
2066
64
      Inst.addOperand(MCOperand::createImm(39));
2067
64
      break;
2068
64
    case CVT_imm_95_38:
2069
64
      Inst.addOperand(MCOperand::createImm(38));
2070
64
      break;
2071
144
    case CVT_imm_95_12:
2072
144
      Inst.addOperand(MCOperand::createImm(12));
2073
144
      break;
2074
49
    case CVT_imm_95_15:
2075
49
      Inst.addOperand(MCOperand::createImm(15));
2076
49
      break;
2077
49
    case CVT_imm_95_14:
2078
49
      Inst.addOperand(MCOperand::createImm(14));
2079
49
      break;
2080
32
    case CVT_imm_95_68:
2081
32
      Inst.addOperand(MCOperand::createImm(68));
2082
32
      break;
2083
32
    case CVT_imm_95_71:
2084
32
      Inst.addOperand(MCOperand::createImm(71));
2085
32
      break;
2086
40
    case CVT_imm_95_70:
2087
40
      Inst.addOperand(MCOperand::createImm(70));
2088
40
      break;
2089
64
    case CVT_imm_95_100:
2090
64
      Inst.addOperand(MCOperand::createImm(100));
2091
64
      break;
2092
64
    case CVT_imm_95_103:
2093
64
      Inst.addOperand(MCOperand::createImm(103));
2094
64
      break;
2095
64
    case CVT_imm_95_102:
2096
64
      Inst.addOperand(MCOperand::createImm(102));
2097
64
      break;
2098
64
    case CVT_imm_95_108:
2099
64
      Inst.addOperand(MCOperand::createImm(108));
2100
64
      break;
2101
64
    case CVT_imm_95_111:
2102
64
      Inst.addOperand(MCOperand::createImm(111));
2103
64
      break;
2104
64
    case CVT_imm_95_110:
2105
64
      Inst.addOperand(MCOperand::createImm(110));
2106
64
      break;
2107
20
    case CVT_imm_95_31:
2108
20
      Inst.addOperand(MCOperand::createImm(31));
2109
20
      break;
2110
650
    case CVT_95_addRegGxRCNoR0Operands:
2111
650
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegGxRCNoR0Operands(Inst, 1);
2112
650
      break;
2113
305
    case CVT_95_addRegGxRCOperands:
2114
305
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegGxRCOperands(Inst, 1);
2115
305
      break;
2116
14
    case CVT_regR0:
2117
14
      Inst.addOperand(MCOperand::createReg(PPC::R0));
2118
14
      break;
2119
256
    case CVT_95_addRegF4RCOperands:
2120
256
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegF4RCOperands(Inst, 1);
2121
256
      break;
2122
293
    case CVT_95_addRegF8RCOperands:
2123
293
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegF8RCOperands(Inst, 1);
2124
293
      break;
2125
23
    case CVT_imm_95_1:
2126
23
      Inst.addOperand(MCOperand::createImm(1));
2127
23
      break;
2128
18
    case CVT_95_addRegVFRCOperands:
2129
18
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegVFRCOperands(Inst, 1);
2130
18
      break;
2131
278
    case CVT_95_addRegVSFRCOperands:
2132
278
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegVSFRCOperands(Inst, 1);
2133
278
      break;
2134
96
    case CVT_95_addRegVSSRCOperands:
2135
96
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegVSSRCOperands(Inst, 1);
2136
96
      break;
2137
702
    case CVT_95_addRegVSRCOperands:
2138
702
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegVSRCOperands(Inst, 1);
2139
702
      break;
2140
4
    case CVT_imm_95_29:
2141
4
      Inst.addOperand(MCOperand::createImm(29));
2142
4
      break;
2143
6
    case CVT_imm_95_280:
2144
6
      Inst.addOperand(MCOperand::createImm(280));
2145
6
      break;
2146
4
    case CVT_imm_95_128:
2147
4
      Inst.addOperand(MCOperand::createImm(128));
2148
4
      break;
2149
4
    case CVT_imm_95_129:
2150
4
      Inst.addOperand(MCOperand::createImm(129));
2151
4
      break;
2152
4
    case CVT_imm_95_130:
2153
4
      Inst.addOperand(MCOperand::createImm(130));
2154
4
      break;
2155
4
    case CVT_imm_95_131:
2156
4
      Inst.addOperand(MCOperand::createImm(131));
2157
4
      break;
2158
4
    case CVT_imm_95_132:
2159
4
      Inst.addOperand(MCOperand::createImm(132));
2160
4
      break;
2161
4
    case CVT_imm_95_133:
2162
4
      Inst.addOperand(MCOperand::createImm(133));
2163
4
      break;
2164
4
    case CVT_imm_95_134:
2165
4
      Inst.addOperand(MCOperand::createImm(134));
2166
4
      break;
2167
4
    case CVT_imm_95_135:
2168
4
      Inst.addOperand(MCOperand::createImm(135));
2169
4
      break;
2170
4
    case CVT_imm_95_28:
2171
4
      Inst.addOperand(MCOperand::createImm(28));
2172
4
      break;
2173
4
    case CVT_imm_95_19:
2174
4
      Inst.addOperand(MCOperand::createImm(19));
2175
4
      break;
2176
4
    case CVT_imm_95_537:
2177
4
      Inst.addOperand(MCOperand::createImm(537));
2178
4
      break;
2179
4
    case CVT_imm_95_539:
2180
4
      Inst.addOperand(MCOperand::createImm(539));
2181
4
      break;
2182
4
    case CVT_imm_95_541:
2183
4
      Inst.addOperand(MCOperand::createImm(541));
2184
4
      break;
2185
4
    case CVT_imm_95_543:
2186
4
      Inst.addOperand(MCOperand::createImm(543));
2187
4
      break;
2188
4
    case CVT_imm_95_536:
2189
4
      Inst.addOperand(MCOperand::createImm(536));
2190
4
      break;
2191
4
    case CVT_imm_95_538:
2192
4
      Inst.addOperand(MCOperand::createImm(538));
2193
4
      break;
2194
4
    case CVT_imm_95_540:
2195
4
      Inst.addOperand(MCOperand::createImm(540));
2196
4
      break;
2197
4
    case CVT_imm_95_542:
2198
4
      Inst.addOperand(MCOperand::createImm(542));
2199
4
      break;
2200
4
    case CVT_imm_95_1018:
2201
4
      Inst.addOperand(MCOperand::createImm(1018));
2202
4
      break;
2203
4
    case CVT_imm_95_981:
2204
4
      Inst.addOperand(MCOperand::createImm(981));
2205
4
      break;
2206
8
    case CVT_imm_95_22:
2207
8
      Inst.addOperand(MCOperand::createImm(22));
2208
8
      break;
2209
4
    case CVT_imm_95_17:
2210
4
      Inst.addOperand(MCOperand::createImm(17));
2211
4
      break;
2212
4
    case CVT_imm_95_18:
2213
4
      Inst.addOperand(MCOperand::createImm(18));
2214
4
      break;
2215
4
    case CVT_imm_95_980:
2216
4
      Inst.addOperand(MCOperand::createImm(980));
2217
4
      break;
2218
4
    case CVT_imm_95_529:
2219
4
      Inst.addOperand(MCOperand::createImm(529));
2220
4
      break;
2221
4
    case CVT_imm_95_531:
2222
4
      Inst.addOperand(MCOperand::createImm(531));
2223
4
      break;
2224
4
    case CVT_imm_95_533:
2225
4
      Inst.addOperand(MCOperand::createImm(533));
2226
4
      break;
2227
4
    case CVT_imm_95_535:
2228
4
      Inst.addOperand(MCOperand::createImm(535));
2229
4
      break;
2230
4
    case CVT_imm_95_528:
2231
4
      Inst.addOperand(MCOperand::createImm(528));
2232
4
      break;
2233
4
    case CVT_imm_95_530:
2234
4
      Inst.addOperand(MCOperand::createImm(530));
2235
4
      break;
2236
4
    case CVT_imm_95_532:
2237
4
      Inst.addOperand(MCOperand::createImm(532));
2238
4
      break;
2239
4
    case CVT_imm_95_534:
2240
4
      Inst.addOperand(MCOperand::createImm(534));
2241
4
      break;
2242
4
    case CVT_imm_95_1019:
2243
4
      Inst.addOperand(MCOperand::createImm(1019));
2244
4
      break;
2245
4
    case CVT_95_addCRBitMaskOperands:
2246
4
      static_cast<PPCOperand&>(*Operands[OpIdx]).addCRBitMaskOperands(Inst, 1);
2247
4
      break;
2248
4
    case CVT_imm_95_48:
2249
4
      Inst.addOperand(MCOperand::createImm(48));
2250
4
      break;
2251
2
    case CVT_imm_95_287:
2252
2
      Inst.addOperand(MCOperand::createImm(287));
2253
2
      break;
2254
19
    case CVT_imm_95_5:
2255
19
      Inst.addOperand(MCOperand::createImm(5));
2256
19
      break;
2257
8
    case CVT_imm_95_25:
2258
8
      Inst.addOperand(MCOperand::createImm(25));
2259
8
      break;
2260
0
    case CVT_imm_95_512:
2261
0
      Inst.addOperand(MCOperand::createImm(512));
2262
0
      break;
2263
8
    case CVT_imm_95_272:
2264
8
      Inst.addOperand(MCOperand::createImm(272));
2265
8
      break;
2266
8
    case CVT_imm_95_273:
2267
8
      Inst.addOperand(MCOperand::createImm(273));
2268
8
      break;
2269
8
    case CVT_imm_95_274:
2270
8
      Inst.addOperand(MCOperand::createImm(274));
2271
8
      break;
2272
8
    case CVT_imm_95_275:
2273
8
      Inst.addOperand(MCOperand::createImm(275));
2274
8
      break;
2275
8
    case CVT_imm_95_260:
2276
8
      Inst.addOperand(MCOperand::createImm(260));
2277
8
      break;
2278
8
    case CVT_imm_95_261:
2279
8
      Inst.addOperand(MCOperand::createImm(261));
2280
8
      break;
2281
8
    case CVT_imm_95_262:
2282
8
      Inst.addOperand(MCOperand::createImm(262));
2283
8
      break;
2284
8
    case CVT_imm_95_263:
2285
8
      Inst.addOperand(MCOperand::createImm(263));
2286
8
      break;
2287
8
    case CVT_imm_95_26:
2288
8
      Inst.addOperand(MCOperand::createImm(26));
2289
8
      break;
2290
8
    case CVT_imm_95_27:
2291
8
      Inst.addOperand(MCOperand::createImm(27));
2292
8
      break;
2293
4
    case CVT_imm_95_990:
2294
4
      Inst.addOperand(MCOperand::createImm(990));
2295
4
      break;
2296
4
    case CVT_imm_95_991:
2297
4
      Inst.addOperand(MCOperand::createImm(991));
2298
4
      break;
2299
14
    case CVT_imm_95_268:
2300
14
      Inst.addOperand(MCOperand::createImm(268));
2301
14
      break;
2302
4
    case CVT_imm_95_988:
2303
4
      Inst.addOperand(MCOperand::createImm(988));
2304
4
      break;
2305
4
    case CVT_imm_95_989:
2306
4
      Inst.addOperand(MCOperand::createImm(989));
2307
4
      break;
2308
9
    case CVT_imm_95_269:
2309
9
      Inst.addOperand(MCOperand::createImm(269));
2310
9
      break;
2311
4
    case CVT_imm_95_986:
2312
4
      Inst.addOperand(MCOperand::createImm(986));
2313
4
      break;
2314
8
    case CVT_imm_95_255:
2315
8
      Inst.addOperand(MCOperand::createImm(255));
2316
8
      break;
2317
2
    case CVT_imm_95_284:
2318
2
      Inst.addOperand(MCOperand::createImm(284));
2319
2
      break;
2320
2
    case CVT_imm_95_285:
2321
2
      Inst.addOperand(MCOperand::createImm(285));
2322
2
      break;
2323
2
    case CVT_95_addRegG8RCNoX0Operands:
2324
2
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegG8RCNoX0Operands(Inst, 1);
2325
2
      break;
2326
180
    case CVT_95_addRegQFRCOperands:
2327
180
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegQFRCOperands(Inst, 1);
2328
180
      break;
2329
28
    case CVT_95_addRegQSRCOperands:
2330
28
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegQSRCOperands(Inst, 1);
2331
28
      break;
2332
40
    case CVT_95_addRegQBRCOperands:
2333
40
      static_cast<PPCOperand&>(*Operands[OpIdx]).addRegQBRCOperands(Inst, 1);
2334
40
      break;
2335
1
    case CVT_imm_95_9:
2336
1
      Inst.addOperand(MCOperand::createImm(9));
2337
1
      break;
2338
1
    case CVT_imm_95_13:
2339
1
      Inst.addOperand(MCOperand::createImm(13));
2340
1
      break;
2341
16
    case CVT_imm_95_20:
2342
16
      Inst.addOperand(MCOperand::createImm(20));
2343
16
      break;
2344
8
    case CVT_imm_95_16:
2345
8
      Inst.addOperand(MCOperand::createImm(16));
2346
8
      break;
2347
8
    case CVT_imm_95_24:
2348
8
      Inst.addOperand(MCOperand::createImm(24));
2349
8
      break;
2350
15.0k
    }
2351
15.0k
  }
2352
5.73k
}
2353
2354
void PPCAsmParser::
2355
convertToMapAndConstraints(unsigned Kind,
2356
0
                           const OperandVector &Operands) {
2357
0
  assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
2358
0
  unsigned NumMCOperands = 0;
2359
0
  const uint8_t *Converter = ConversionTable[Kind];
2360
0
  for (const uint8_t *p = Converter; 
*p0
;
p+= 20
) {
2361
0
    switch (*p) {
2362
0
    
default: 0
llvm_unreachable0
("invalid conversion entry!");
2363
0
    case CVT_Reg:
2364
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2365
0
      Operands[*(p + 1)]->setConstraint("r");
2366
0
      ++NumMCOperands;
2367
0
      break;
2368
0
    case CVT_Tied:
2369
0
      ++NumMCOperands;
2370
0
      break;
2371
0
    case CVT_95_addRegG8RCOperands:
2372
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2373
0
      Operands[*(p + 1)]->setConstraint("m");
2374
0
      NumMCOperands += 1;
2375
0
      break;
2376
0
    case CVT_95_addTLSRegOperands:
2377
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2378
0
      Operands[*(p + 1)]->setConstraint("m");
2379
0
      NumMCOperands += 1;
2380
0
      break;
2381
0
    case CVT_95_addRegGPRCOperands:
2382
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2383
0
      Operands[*(p + 1)]->setConstraint("m");
2384
0
      NumMCOperands += 1;
2385
0
      break;
2386
0
    case CVT_95_addRegGPRCNoR0Operands:
2387
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2388
0
      Operands[*(p + 1)]->setConstraint("m");
2389
0
      NumMCOperands += 1;
2390
0
      break;
2391
0
    case CVT_95_addS16ImmOperands:
2392
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2393
0
      Operands[*(p + 1)]->setConstraint("m");
2394
0
      NumMCOperands += 1;
2395
0
      break;
2396
0
    case CVT_95_addImmOperands:
2397
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2398
0
      Operands[*(p + 1)]->setConstraint("m");
2399
0
      NumMCOperands += 1;
2400
0
      break;
2401
0
    case CVT_95_addU16ImmOperands:
2402
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2403
0
      Operands[*(p + 1)]->setConstraint("m");
2404
0
      NumMCOperands += 1;
2405
0
      break;
2406
0
    case CVT_95_addBranchTargetOperands:
2407
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2408
0
      Operands[*(p + 1)]->setConstraint("m");
2409
0
      NumMCOperands += 1;
2410
0
      break;
2411
0
    case CVT_95_addRegCRBITRCOperands:
2412
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2413
0
      Operands[*(p + 1)]->setConstraint("m");
2414
0
      NumMCOperands += 1;
2415
0
      break;
2416
0
    case CVT_imm_95_3:
2417
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2418
0
      Operands[*(p + 1)]->setConstraint("");
2419
0
      ++NumMCOperands;
2420
0
      break;
2421
0
    case CVT_imm_95_2:
2422
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2423
0
      Operands[*(p + 1)]->setConstraint("");
2424
0
      ++NumMCOperands;
2425
0
      break;
2426
0
    case CVT_imm_95_0:
2427
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2428
0
      Operands[*(p + 1)]->setConstraint("");
2429
0
      ++NumMCOperands;
2430
0
      break;
2431
0
    case CVT_95_addRegVRRCOperands:
2432
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2433
0
      Operands[*(p + 1)]->setConstraint("m");
2434
0
      NumMCOperands += 1;
2435
0
      break;
2436
0
    case CVT_imm_95_8:
2437
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2438
0
      Operands[*(p + 1)]->setConstraint("");
2439
0
      ++NumMCOperands;
2440
0
      break;
2441
0
    case CVT_imm_95_10:
2442
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2443
0
      Operands[*(p + 1)]->setConstraint("");
2444
0
      ++NumMCOperands;
2445
0
      break;
2446
0
    case CVT_imm_95_76:
2447
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2448
0
      Operands[*(p + 1)]->setConstraint("");
2449
0
      ++NumMCOperands;
2450
0
      break;
2451
0
    case CVT_regCR0:
2452
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2453
0
      Operands[*(p + 1)]->setConstraint("m");
2454
0
      ++NumMCOperands;
2455
0
      break;
2456
0
    case CVT_95_addRegCRRCOperands:
2457
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2458
0
      Operands[*(p + 1)]->setConstraint("m");
2459
0
      NumMCOperands += 1;
2460
0
      break;
2461
0
    case CVT_imm_95_79:
2462
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2463
0
      Operands[*(p + 1)]->setConstraint("");
2464
0
      ++NumMCOperands;
2465
0
      break;
2466
0
    case CVT_imm_95_78:
2467
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2468
0
      Operands[*(p + 1)]->setConstraint("");
2469
0
      ++NumMCOperands;
2470
0
      break;
2471
0
    case CVT_imm_95_4:
2472
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2473
0
      Operands[*(p + 1)]->setConstraint("");
2474
0
      ++NumMCOperands;
2475
0
      break;
2476
0
    case CVT_imm_95_7:
2477
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2478
0
      Operands[*(p + 1)]->setConstraint("");
2479
0
      ++NumMCOperands;
2480
0
      break;
2481
0
    case CVT_imm_95_6:
2482
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2483
0
      Operands[*(p + 1)]->setConstraint("");
2484
0
      ++NumMCOperands;
2485
0
      break;
2486
0
    case CVT_imm_95_44:
2487
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2488
0
      Operands[*(p + 1)]->setConstraint("");
2489
0
      ++NumMCOperands;
2490
0
      break;
2491
0
    case CVT_imm_95_47:
2492
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2493
0
      Operands[*(p + 1)]->setConstraint("");
2494
0
      ++NumMCOperands;
2495
0
      break;
2496
0
    case CVT_imm_95_46:
2497
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2498
0
      Operands[*(p + 1)]->setConstraint("");
2499
0
      ++NumMCOperands;
2500
0
      break;
2501
0
    case CVT_imm_95_36:
2502
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2503
0
      Operands[*(p + 1)]->setConstraint("");
2504
0
      ++NumMCOperands;
2505
0
      break;
2506
0
    case CVT_imm_95_39:
2507
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2508
0
      Operands[*(p + 1)]->setConstraint("");
2509
0
      ++NumMCOperands;
2510
0
      break;
2511
0
    case CVT_imm_95_38:
2512
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2513
0
      Operands[*(p + 1)]->setConstraint("");
2514
0
      ++NumMCOperands;
2515
0
      break;
2516
0
    case CVT_imm_95_12:
2517
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2518
0
      Operands[*(p + 1)]->setConstraint("");
2519
0
      ++NumMCOperands;
2520
0
      break;
2521
0
    case CVT_imm_95_15:
2522
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2523
0
      Operands[*(p + 1)]->setConstraint("");
2524
0
      ++NumMCOperands;
2525
0
      break;
2526
0
    case CVT_imm_95_14:
2527
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2528
0
      Operands[*(p + 1)]->setConstraint("");
2529
0
      ++NumMCOperands;
2530
0
      break;
2531
0
    case CVT_imm_95_68:
2532
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2533
0
      Operands[*(p + 1)]->setConstraint("");
2534
0
      ++NumMCOperands;
2535
0
      break;
2536
0
    case CVT_imm_95_71:
2537
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2538
0
      Operands[*(p + 1)]->setConstraint("");
2539
0
      ++NumMCOperands;
2540
0
      break;
2541
0
    case CVT_imm_95_70:
2542
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2543
0
      Operands[*(p + 1)]->setConstraint("");
2544
0
      ++NumMCOperands;
2545
0
      break;
2546
0
    case CVT_imm_95_100:
2547
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2548
0
      Operands[*(p + 1)]->setConstraint("");
2549
0
      ++NumMCOperands;
2550
0
      break;
2551
0
    case CVT_imm_95_103:
2552
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2553
0
      Operands[*(p + 1)]->setConstraint("");
2554
0
      ++NumMCOperands;
2555
0
      break;
2556
0
    case CVT_imm_95_102:
2557
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2558
0
      Operands[*(p + 1)]->setConstraint("");
2559
0
      ++NumMCOperands;
2560
0
      break;
2561
0
    case CVT_imm_95_108:
2562
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2563
0
      Operands[*(p + 1)]->setConstraint("");
2564
0
      ++NumMCOperands;
2565
0
      break;
2566
0
    case CVT_imm_95_111:
2567
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2568
0
      Operands[*(p + 1)]->setConstraint("");
2569
0
      ++NumMCOperands;
2570
0
      break;
2571
0
    case CVT_imm_95_110:
2572
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2573
0
      Operands[*(p + 1)]->setConstraint("");
2574
0
      ++NumMCOperands;
2575
0
      break;
2576
0
    case CVT_imm_95_31:
2577
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2578
0
      Operands[*(p + 1)]->setConstraint("");
2579
0
      ++NumMCOperands;
2580
0
      break;
2581
0
    case CVT_95_addRegGxRCNoR0Operands:
2582
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2583
0
      Operands[*(p + 1)]->setConstraint("m");
2584
0
      NumMCOperands += 1;
2585
0
      break;
2586
0
    case CVT_95_addRegGxRCOperands:
2587
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2588
0
      Operands[*(p + 1)]->setConstraint("m");
2589
0
      NumMCOperands += 1;
2590
0
      break;
2591
0
    case CVT_regR0:
2592
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2593
0
      Operands[*(p + 1)]->setConstraint("m");
2594
0
      ++NumMCOperands;
2595
0
      break;
2596
0
    case CVT_95_addRegF4RCOperands:
2597
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2598
0
      Operands[*(p + 1)]->setConstraint("m");
2599
0
      NumMCOperands += 1;
2600
0
      break;
2601
0
    case CVT_95_addRegF8RCOperands:
2602
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2603
0
      Operands[*(p + 1)]->setConstraint("m");
2604
0
      NumMCOperands += 1;
2605
0
      break;
2606
0
    case CVT_imm_95_1:
2607
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2608
0
      Operands[*(p + 1)]->setConstraint("");
2609
0
      ++NumMCOperands;
2610
0
      break;
2611
0
    case CVT_95_addRegVFRCOperands:
2612
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2613
0
      Operands[*(p + 1)]->setConstraint("m");
2614
0
      NumMCOperands += 1;
2615
0
      break;
2616
0
    case CVT_95_addRegVSFRCOperands:
2617
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2618
0
      Operands[*(p + 1)]->setConstraint("m");
2619
0
      NumMCOperands += 1;
2620
0
      break;
2621
0
    case CVT_95_addRegVSSRCOperands:
2622
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2623
0
      Operands[*(p + 1)]->setConstraint("m");
2624
0
      NumMCOperands += 1;
2625
0
      break;
2626
0
    case CVT_95_addRegVSRCOperands:
2627
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2628
0
      Operands[*(p + 1)]->setConstraint("m");
2629
0
      NumMCOperands += 1;
2630
0
      break;
2631
0
    case CVT_imm_95_29:
2632
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2633
0
      Operands[*(p + 1)]->setConstraint("");
2634
0
      ++NumMCOperands;
2635
0
      break;
2636
0
    case CVT_imm_95_280:
2637
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2638
0
      Operands[*(p + 1)]->setConstraint("");
2639
0
      ++NumMCOperands;
2640
0
      break;
2641
0
    case CVT_imm_95_128:
2642
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2643
0
      Operands[*(p + 1)]->setConstraint("");
2644
0
      ++NumMCOperands;
2645
0
      break;
2646
0
    case CVT_imm_95_129:
2647
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2648
0
      Operands[*(p + 1)]->setConstraint("");
2649
0
      ++NumMCOperands;
2650
0
      break;
2651
0
    case CVT_imm_95_130:
2652
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2653
0
      Operands[*(p + 1)]->setConstraint("");
2654
0
      ++NumMCOperands;
2655
0
      break;
2656
0
    case CVT_imm_95_131:
2657
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2658
0
      Operands[*(p + 1)]->setConstraint("");
2659
0
      ++NumMCOperands;
2660
0
      break;
2661
0
    case CVT_imm_95_132:
2662
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2663
0
      Operands[*(p + 1)]->setConstraint("");
2664
0
      ++NumMCOperands;
2665
0
      break;
2666
0
    case CVT_imm_95_133:
2667
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2668
0
      Operands[*(p + 1)]->setConstraint("");
2669
0
      ++NumMCOperands;
2670
0
      break;
2671
0
    case CVT_imm_95_134:
2672
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2673
0
      Operands[*(p + 1)]->setConstraint("");
2674
0
      ++NumMCOperands;
2675
0
      break;
2676
0
    case CVT_imm_95_135:
2677
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2678
0
      Operands[*(p + 1)]->setConstraint("");
2679
0
      ++NumMCOperands;
2680
0
      break;
2681
0
    case CVT_imm_95_28:
2682
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2683
0
      Operands[*(p + 1)]->setConstraint("");
2684
0
      ++NumMCOperands;
2685
0
      break;
2686
0
    case CVT_imm_95_19:
2687
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2688
0
      Operands[*(p + 1)]->setConstraint("");
2689
0
      ++NumMCOperands;
2690
0
      break;
2691
0
    case CVT_imm_95_537:
2692
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2693
0
      Operands[*(p + 1)]->setConstraint("");
2694
0
      ++NumMCOperands;
2695
0
      break;
2696
0
    case CVT_imm_95_539:
2697
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2698
0
      Operands[*(p + 1)]->setConstraint("");
2699
0
      ++NumMCOperands;
2700
0
      break;
2701
0
    case CVT_imm_95_541:
2702
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2703
0
      Operands[*(p + 1)]->setConstraint("");
2704
0
      ++NumMCOperands;
2705
0
      break;
2706
0
    case CVT_imm_95_543:
2707
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2708
0
      Operands[*(p + 1)]->setConstraint("");
2709
0
      ++NumMCOperands;
2710
0
      break;
2711
0
    case CVT_imm_95_536:
2712
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2713
0
      Operands[*(p + 1)]->setConstraint("");
2714
0
      ++NumMCOperands;
2715
0
      break;
2716
0
    case CVT_imm_95_538:
2717
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2718
0
      Operands[*(p + 1)]->setConstraint("");
2719
0
      ++NumMCOperands;
2720
0
      break;
2721
0
    case CVT_imm_95_540:
2722
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2723
0
      Operands[*(p + 1)]->setConstraint("");
2724
0
      ++NumMCOperands;
2725
0
      break;
2726
0
    case CVT_imm_95_542:
2727
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2728
0
      Operands[*(p + 1)]->setConstraint("");
2729
0
      ++NumMCOperands;
2730
0
      break;
2731
0
    case CVT_imm_95_1018:
2732
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2733
0
      Operands[*(p + 1)]->setConstraint("");
2734
0
      ++NumMCOperands;
2735
0
      break;
2736
0
    case CVT_imm_95_981:
2737
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2738
0
      Operands[*(p + 1)]->setConstraint("");
2739
0
      ++NumMCOperands;
2740
0
      break;
2741
0
    case CVT_imm_95_22:
2742
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2743
0
      Operands[*(p + 1)]->setConstraint("");
2744
0
      ++NumMCOperands;
2745
0
      break;
2746
0
    case CVT_imm_95_17:
2747
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2748
0
      Operands[*(p + 1)]->setConstraint("");
2749
0
      ++NumMCOperands;
2750
0
      break;
2751
0
    case CVT_imm_95_18:
2752
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2753
0
      Operands[*(p + 1)]->setConstraint("");
2754
0
      ++NumMCOperands;
2755
0
      break;
2756
0
    case CVT_imm_95_980:
2757
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2758
0
      Operands[*(p + 1)]->setConstraint("");
2759
0
      ++NumMCOperands;
2760
0
      break;
2761
0
    case CVT_imm_95_529:
2762
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2763
0
      Operands[*(p + 1)]->setConstraint("");
2764
0
      ++NumMCOperands;
2765
0
      break;
2766
0
    case CVT_imm_95_531:
2767
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2768
0
      Operands[*(p + 1)]->setConstraint("");
2769
0
      ++NumMCOperands;
2770
0
      break;
2771
0
    case CVT_imm_95_533:
2772
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2773
0
      Operands[*(p + 1)]->setConstraint("");
2774
0
      ++NumMCOperands;
2775
0
      break;
2776
0
    case CVT_imm_95_535:
2777
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2778
0
      Operands[*(p + 1)]->setConstraint("");
2779
0
      ++NumMCOperands;
2780
0
      break;
2781
0
    case CVT_imm_95_528:
2782
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2783
0
      Operands[*(p + 1)]->setConstraint("");
2784
0
      ++NumMCOperands;
2785
0
      break;
2786
0
    case CVT_imm_95_530:
2787
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2788
0
      Operands[*(p + 1)]->setConstraint("");
2789
0
      ++NumMCOperands;
2790
0
      break;
2791
0
    case CVT_imm_95_532:
2792
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2793
0
      Operands[*(p + 1)]->setConstraint("");
2794
0
      ++NumMCOperands;
2795
0
      break;
2796
0
    case CVT_imm_95_534:
2797
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2798
0
      Operands[*(p + 1)]->setConstraint("");
2799
0
      ++NumMCOperands;
2800
0
      break;
2801
0
    case CVT_imm_95_1019:
2802
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2803
0
      Operands[*(p + 1)]->setConstraint("");
2804
0
      ++NumMCOperands;
2805
0
      break;
2806
0
    case CVT_95_addCRBitMaskOperands:
2807
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2808
0
      Operands[*(p + 1)]->setConstraint("m");
2809
0
      NumMCOperands += 1;
2810
0
      break;
2811
0
    case CVT_imm_95_48:
2812
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2813
0
      Operands[*(p + 1)]->setConstraint("");
2814
0
      ++NumMCOperands;
2815
0
      break;
2816
0
    case CVT_imm_95_287:
2817
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2818
0
      Operands[*(p + 1)]->setConstraint("");
2819
0
      ++NumMCOperands;
2820
0
      break;
2821
0
    case CVT_imm_95_5:
2822
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2823
0
      Operands[*(p + 1)]->setConstraint("");
2824
0
      ++NumMCOperands;
2825
0
      break;
2826
0
    case CVT_imm_95_25:
2827
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2828
0
      Operands[*(p + 1)]->setConstraint("");
2829
0
      ++NumMCOperands;
2830
0
      break;
2831
0
    case CVT_imm_95_512:
2832
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2833
0
      Operands[*(p + 1)]->setConstraint("");
2834
0
      ++NumMCOperands;
2835
0
      break;
2836
0
    case CVT_imm_95_272:
2837
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2838
0
      Operands[*(p + 1)]->setConstraint("");
2839
0
      ++NumMCOperands;
2840
0
      break;
2841
0
    case CVT_imm_95_273:
2842
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2843
0
      Operands[*(p + 1)]->setConstraint("");
2844
0
      ++NumMCOperands;
2845
0
      break;
2846
0
    case CVT_imm_95_274:
2847
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2848
0
      Operands[*(p + 1)]->setConstraint("");
2849
0
      ++NumMCOperands;
2850
0
      break;
2851
0
    case CVT_imm_95_275:
2852
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2853
0
      Operands[*(p + 1)]->setConstraint("");
2854
0
      ++NumMCOperands;
2855
0
      break;
2856
0
    case CVT_imm_95_260:
2857
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2858
0
      Operands[*(p + 1)]->setConstraint("");
2859
0
      ++NumMCOperands;
2860
0
      break;
2861
0
    case CVT_imm_95_261:
2862
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2863
0
      Operands[*(p + 1)]->setConstraint("");
2864
0
      ++NumMCOperands;
2865
0
      break;
2866
0
    case CVT_imm_95_262:
2867
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2868
0
      Operands[*(p + 1)]->setConstraint("");
2869
0
      ++NumMCOperands;
2870
0
      break;
2871
0
    case CVT_imm_95_263:
2872
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2873
0
      Operands[*(p + 1)]->setConstraint("");
2874
0
      ++NumMCOperands;
2875
0
      break;
2876
0
    case CVT_imm_95_26:
2877
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2878
0
      Operands[*(p + 1)]->setConstraint("");
2879
0
      ++NumMCOperands;
2880
0
      break;
2881
0
    case CVT_imm_95_27:
2882
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2883
0
      Operands[*(p + 1)]->setConstraint("");
2884
0
      ++NumMCOperands;
2885
0
      break;
2886
0
    case CVT_imm_95_990:
2887
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2888
0
      Operands[*(p + 1)]->setConstraint("");
2889
0
      ++NumMCOperands;
2890
0
      break;
2891
0
    case CVT_imm_95_991:
2892
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2893
0
      Operands[*(p + 1)]->setConstraint("");
2894
0
      ++NumMCOperands;
2895
0
      break;
2896
0
    case CVT_imm_95_268:
2897
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2898
0
      Operands[*(p + 1)]->setConstraint("");
2899
0
      ++NumMCOperands;
2900
0
      break;
2901
0
    case CVT_imm_95_988:
2902
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2903
0
      Operands[*(p + 1)]->setConstraint("");
2904
0
      ++NumMCOperands;
2905
0
      break;
2906
0
    case CVT_imm_95_989:
2907
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2908
0
      Operands[*(p + 1)]->setConstraint("");
2909
0
      ++NumMCOperands;
2910
0
      break;
2911
0
    case CVT_imm_95_269:
2912
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2913
0
      Operands[*(p + 1)]->setConstraint("");
2914
0
      ++NumMCOperands;
2915
0
      break;
2916
0
    case CVT_imm_95_986:
2917
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2918
0
      Operands[*(p + 1)]->setConstraint("");
2919
0
      ++NumMCOperands;
2920
0
      break;
2921
0
    case CVT_imm_95_255:
2922
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2923
0
      Operands[*(p + 1)]->setConstraint("");
2924
0
      ++NumMCOperands;
2925
0
      break;
2926
0
    case CVT_imm_95_284:
2927
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2928
0
      Operands[*(p + 1)]->setConstraint("");
2929
0
      ++NumMCOperands;
2930
0
      break;
2931
0
    case CVT_imm_95_285:
2932
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2933
0
      Operands[*(p + 1)]->setConstraint("");
2934
0
      ++NumMCOperands;
2935
0
      break;
2936
0
    case CVT_95_addRegG8RCNoX0Operands:
2937
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2938
0
      Operands[*(p + 1)]->setConstraint("m");
2939
0
      NumMCOperands += 1;
2940
0
      break;
2941
0
    case CVT_95_addRegQFRCOperands:
2942
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2943
0
      Operands[*(p + 1)]->setConstraint("m");
2944
0
      NumMCOperands += 1;
2945
0
      break;
2946
0
    case CVT_95_addRegQSRCOperands:
2947
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2948
0
      Operands[*(p + 1)]->setConstraint("m");
2949
0
      NumMCOperands += 1;
2950
0
      break;
2951
0
    case CVT_95_addRegQBRCOperands:
2952
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2953
0
      Operands[*(p + 1)]->setConstraint("m");
2954
0
      NumMCOperands += 1;
2955
0
      break;
2956
0
    case CVT_imm_95_9:
2957
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2958
0
      Operands[*(p + 1)]->setConstraint("");
2959
0
      ++NumMCOperands;
2960
0
      break;
2961
0
    case CVT_imm_95_13:
2962
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2963
0
      Operands[*(p + 1)]->setConstraint("");
2964
0
      ++NumMCOperands;
2965
0
      break;
2966
0
    case CVT_imm_95_20:
2967
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2968
0
      Operands[*(p + 1)]->setConstraint("");
2969
0
      ++NumMCOperands;
2970
0
      break;
2971
0
    case CVT_imm_95_16:
2972
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2973
0
      Operands[*(p + 1)]->setConstraint("");
2974
0
      ++NumMCOperands;
2975
0
      break;
2976
0
    case CVT_imm_95_24:
2977
0
      Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
2978
0
      Operands[*(p + 1)]->setConstraint("");
2979
0
      ++NumMCOperands;
2980
0
      break;
2981
0
    }
2982
0
  }
2983
0
}
2984
2985
namespace {
2986
2987
/// MatchClassKind - The kinds of classes which participate in
2988
/// instruction matching.
2989
enum MatchClassKind {
2990
  InvalidMatchClass = 0,
2991
  OptionalMatchClass = 1,
2992
  MCK__DOT_, // '.'
2993
  MCK_0, // '0'
2994
  MCK_1, // '1'
2995
  MCK_2, // '2'
2996
  MCK_3, // '3'
2997
  MCK_4, // '4'
2998
  MCK_5, // '5'
2999
  MCK_6, // '6'
3000
  MCK_7, // '7'
3001
  MCK_CARRYRC, // register class 'CARRYRC'
3002
  MCK_CRRC0, // register class 'CRRC0'
3003
  MCK_CTRRC, // register class 'CTRRC'
3004
  MCK_CTRRC8, // register class 'CTRRC8'
3005
  MCK_VRSAVERC, // register class 'VRSAVERC'
3006
  MCK_CRRC, // register class 'CRRC'
3007
  MCK_Reg19, // derived register class
3008
  MCK_Reg13, // derived register class
3009
  MCK_Reg7, // derived register class
3010
  MCK_Reg15, // derived register class
3011
  MCK_Reg11, // derived register class
3012
  MCK_CRBITRC, // register class 'CRBITRC'
3013
  MCK_F4RC, // register class 'F4RC,F8RC'
3014
  MCK_QSRC, // register class 'QSRC,QBRC,QFRC'
3015
  MCK_VFRC, // register class 'VFRC'
3016
  MCK_VRRC, // register class 'VRRC'
3017
  MCK_VSLRC, // register class 'VSLRC'
3018
  MCK_Reg6, // derived register class
3019
  MCK_Reg2, // derived register class
3020
  MCK_Reg18, // derived register class
3021
  MCK_Reg10, // derived register class
3022
  MCK_G8RC, // register class 'G8RC'
3023
  MCK_G8RC_NOX0, // register class 'G8RC_NOX0'
3024
  MCK_GPRC, // register class 'GPRC'
3025
  MCK_GPRC_NOR0, // register class 'GPRC_NOR0'
3026
  MCK_VSRC, // register class 'VSRC'
3027
  MCK_VSSRC, // register class 'VSSRC,VSFRC'
3028
  MCK_SPILLTOVSRRC, // register class 'SPILLTOVSRRC'
3029
  MCK_Imm, // user defined class 'ImmAsmOperand'
3030
  MCK_ATBitsAsHint, // user defined class 'PPCATBitsAsHintAsmOperand'
3031
  MCK_CRBitMask, // user defined class 'PPCCRBitMaskOperand'
3032
  MCK_CondBr, // user defined class 'PPCCondBrAsmOperand'
3033
  MCK_DirectBr, // user defined class 'PPCDirectBrAsmOperand'
3034
  MCK_DispRI, // user defined class 'PPCDispRIOperand'
3035
  MCK_DispRIX16, // user defined class 'PPCDispRIX16Operand'
3036
  MCK_DispRIX, // user defined class 'PPCDispRIXOperand'
3037
  MCK_DispSPE2, // user defined class 'PPCDispSPE2Operand'
3038
  MCK_DispSPE4, // user defined class 'PPCDispSPE4Operand'
3039
  MCK_DispSPE8, // user defined class 'PPCDispSPE8Operand'
3040
  MCK_RegCRBITRC, // user defined class 'PPCRegCRBITRCAsmOperand'
3041
  MCK_RegCRRC, // user defined class 'PPCRegCRRCAsmOperand'
3042
  MCK_RegF4RC, // user defined class 'PPCRegF4RCAsmOperand'
3043
  MCK_RegF8RC, // user defined class 'PPCRegF8RCAsmOperand'
3044
  MCK_RegG8RC, // user defined class 'PPCRegG8RCAsmOperand'
3045
  MCK_RegG8RCNoX0, // user defined class 'PPCRegG8RCNoX0AsmOperand'
3046
  MCK_RegGPRC, // user defined class 'PPCRegGPRCAsmOperand'
3047
  MCK_RegGPRCNoR0, // user defined class 'PPCRegGPRCNoR0AsmOperand'
3048
  MCK_RegGxRCNoR0, // user defined class 'PPCRegGxRCNoR0Operand'
3049
  MCK_RegGxRC, // user defined class 'PPCRegGxRCOperand'
3050
  MCK_RegQBRC, // user defined class 'PPCRegQBRCAsmOperand'
3051
  MCK_RegQFRC, // user defined class 'PPCRegQFRCAsmOperand'
3052
  MCK_RegQSRC, // user defined class 'PPCRegQSRCAsmOperand'
3053
  MCK_RegSPILLTOVSRRC, // user defined class 'PPCRegSPILLTOVSRRCAsmOperand'
3054
  MCK_RegVFRC, // user defined class 'PPCRegVFRCAsmOperand'
3055
  MCK_RegVRRC, // user defined class 'PPCRegVRRCAsmOperand'
3056
  MCK_RegVSFRC, // user defined class 'PPCRegVSFRCAsmOperand'
3057
  MCK_RegVSRC, // user defined class 'PPCRegVSRCAsmOperand'
3058
  MCK_RegVSSRC, // user defined class 'PPCRegVSSRCAsmOperand'
3059
  MCK_S16Imm, // user defined class 'PPCS16ImmAsmOperand'
3060
  MCK_S17Imm, // user defined class 'PPCS17ImmAsmOperand'
3061
  MCK_S5Imm, // user defined class 'PPCS5ImmAsmOperand'
3062
  MCK_TLSReg, // user defined class 'PPCTLSRegOperand'
3063
  MCK_U10Imm, // user defined class 'PPCU10ImmAsmOperand'
3064
  MCK_U12Imm, // user defined class 'PPCU12ImmAsmOperand'
3065
  MCK_U16Imm, // user defined class 'PPCU16ImmAsmOperand'
3066
  MCK_U1Imm, // user defined class 'PPCU1ImmAsmOperand'
3067
  MCK_U2Imm, // user defined class 'PPCU2ImmAsmOperand'
3068
  MCK_U3Imm, // user defined class 'PPCU3ImmAsmOperand'
3069
  MCK_U4Imm, // user defined class 'PPCU4ImmAsmOperand'
3070
  MCK_U5Imm, // user defined class 'PPCU5ImmAsmOperand'
3071
  MCK_U6Imm, // user defined class 'PPCU6ImmAsmOperand'
3072
  MCK_U7Imm, // user defined class 'PPCU7ImmAsmOperand'
3073
  MCK_U8Imm, // user defined class 'PPCU8ImmAsmOperand'
3074
  NumMatchClassKinds
3075
};
3076
3077
}
3078
3079
797
static MatchClassKind matchTokenString(StringRef Name) {
3080
797
  switch (Name.size()) {
3081
0
  default: break;
3082
797
  case 1:  // 9 strings to match.
3083
797
    switch (Name[0]) {
3084
0
    default: break;
3085
797
    case '.':  // 1 string to match.
3086
797
      return MCK__DOT_;  // "."
3087
0
    case '0':  // 1 string to match.
3088
0
      return MCK_0;  // "0"
3089
0
    case '1':  // 1 string to match.
3090
0
      return MCK_1;  // "1"
3091
0
    case '2':  // 1 string to match.
3092
0
      return MCK_2;  // "2"
3093
0
    case '3':  // 1 string to match.
3094
0
      return MCK_3;  // "3"
3095
0
    case '4':  // 1 string to match.
3096
0
      return MCK_4;  // "4"
3097
0
    case '5':  // 1 string to match.
3098
0
      return MCK_5;  // "5"
3099
0
    case '6':  // 1 string to match.
3100
0
      return MCK_6;  // "6"
3101
0
    case '7':  // 1 string to match.
3102
0
      return MCK_7;  // "7"
3103
797
    }
3104
0
    break;
3105
797
  }
3106
0
  return InvalidMatchClass;
3107
797
}
3108
3109
/// isSubclass - Compute whether \p A is a subclass of \p B.
3110
2.18k
static bool isSubclass(MatchClassKind A, MatchClassKind B) {
3111
2.18k
  if (A == B)
3112
429
    return true;
3113
2.18k
3114
1.75k
  switch (A) {
3115
1.75k
  default:
3116
1.75k
    return false;
3117
1.75k
3118
0
  case MCK_CRRC0:
3119
0
    return B == MCK_CRRC;
3120
1.75k
3121
0
  case MCK_Reg19:
3122
0
    switch (B) {
3123
0
    default: return false;
3124
0
    case MCK_VSLRC: return true;
3125
0
    case MCK_Reg18: return true;
3126
0
    case MCK_VSRC: return true;
3127
0
    }
3128
0
3129
0
  case MCK_Reg13:
3130
0
    return B == MCK_QSRC;
3131
0
3132
0
  case MCK_Reg7:
3133
0
    switch (B) {
3134
0
    default: return false;
3135
0
    case MCK_F4RC: return true;
3136
0
    case MCK_Reg10: return true;
3137
0
    case MCK_VSSRC: return true;
3138
0
    case MCK_SPILLTOVSRRC: return true;
3139
0
    }
3140
0
3141
0
  case MCK_Reg15:
3142
0
    switch (B) {
3143
0
    default: return false;
3144
0
    case MCK_VRRC: return true;
3145
0
    case MCK_Reg18: return true;
3146
0
    case MCK_VSRC: return true;
3147
0
    }
3148
0
3149
0
  case MCK_Reg11:
3150
0
    switch (B) {
3151
0
    default: return false;
3152
0
    case MCK_VFRC: return true;
3153
0
    case MCK_Reg10: return true;
3154
0
    case MCK_VSSRC: return true;
3155
0
    case MCK_SPILLTOVSRRC: return true;
3156
0
    }
3157
0
3158
0
  case MCK_F4RC:
3159
0
    return B == MCK_VSSRC;
3160
0
3161
0
  case MCK_VFRC:
3162
0
    return B == MCK_VSSRC;
3163
0
3164
0
  case MCK_VRRC:
3165
0
    return B == MCK_VSRC;
3166
0
3167
0
  case MCK_VSLRC:
3168
0
    return B == MCK_VSRC;
3169
0
3170
0
  case MCK_Reg6:
3171
0
    switch (B) {
3172
0
    default: return false;
3173
0
    case MCK_G8RC: return true;
3174
0
    case MCK_G8RC_NOX0: return true;
3175
0
    case MCK_SPILLTOVSRRC: return true;
3176
0
    }
3177
0
3178
0
  case MCK_Reg2:
3179
0
    switch (B) {
3180
0
    default: return false;
3181
0
    case MCK_GPRC: return true;
3182
0
    case MCK_GPRC_NOR0: return true;
3183
0
    }
3184
0
3185
0
  case MCK_Reg18:
3186
0
    return B == MCK_VSRC;
3187
0
3188
0
  case MCK_Reg10:
3189
0
    switch (B) {
3190
0
    default: return false;
3191
0
    case MCK_VSSRC: return true;
3192
0
    case MCK_SPILLTOVSRRC: return true;
3193
0
    }
3194
0
3195
0
  case MCK_G8RC:
3196
0
    return B == MCK_SPILLTOVSRRC;
3197
2.18k
  }
3198
2.18k
}
3199
3200
14.4k
static unsigned validateOperandClass(MCParsedAsmOperand &GOp, MatchClassKind Kind) {
3201
14.4k
  PPCOperand &Operand = (PPCOperand&)GOp;
3202
14.4k
  if (Kind == InvalidMatchClass)
3203
450
    return MCTargetAsmParser::Match_InvalidOperand;
3204
14.4k
3205
14.0k
  
if (14.0k
Operand.isToken()14.0k
)
3206
797
    return isSubclass(matchTokenString(Operand.getToken()), Kind) ?
3207
429
             MCTargetAsmParser::Match_Success :
3208
368
             MCTargetAsmParser::Match_InvalidOperand;
3209
14.0k
3210
13.2k
  switch (Kind) {
3211
360
  default: break;
3212
13.2k
  // 'Imm' class
3213
158
  case MCK_Imm:
3214
158
    if (Operand.isImm())
3215
158
      return MCTargetAsmParser::Match_Success;
3216
0
    break;
3217
158
  // 'ATBitsAsHint' class
3218
0
  case MCK_ATBitsAsHint:
3219
0
    if (Operand.isATBitsAsHint())
3220
0
      return MCTargetAsmParser::Match_Success;
3221
0
    break;
3222
0
  // 'CRBitMask' class
3223
4
  case MCK_CRBitMask:
3224
4
    if (Operand.isCRBitMask())
3225
4
      return MCTargetAsmParser::Match_Success;
3226
0
    break;
3227
4
  // 'CondBr' class
3228
1.04k
  case MCK_CondBr:
3229
1.04k
    if (Operand.isCondBr())
3230
753
      return MCTargetAsmParser::Match_Success;
3231
288
    break;
3232
1.04k
  // 'DirectBr' class
3233
65
  case MCK_DirectBr:
3234
65
    if (Operand.isDirectBr())
3235
65
      return MCTargetAsmParser::Match_Success;
3236
0
    break;
3237
65
  // 'DispRI' class
3238
111
  case MCK_DispRI:
3239
111
    if (Operand.isS16Imm())
3240
107
      return MCTargetAsmParser::Match_Success;
3241
4
    break;
3242
111
  // 'DispRIX16' class
3243
8
  case MCK_DispRIX16:
3244
8
    if (Operand.isS16ImmX16())
3245
8
      return MCTargetAsmParser::Match_Success;
3246
0
    break;
3247
8
  // 'DispRIX' class
3248
136
  case MCK_DispRIX:
3249
136
    if (Operand.isS16ImmX4())
3250
126
      return MCTargetAsmParser::Match_Success;
3251
10
    break;
3252
136
  // 'DispSPE2' class
3253
14
  case MCK_DispSPE2:
3254
14
    if (Operand.isU6ImmX2())
3255
14
      return MCTargetAsmParser::Match_Success;
3256
0
    break;
3257
14
  // 'DispSPE4' class
3258
54
  case MCK_DispSPE4:
3259
54
    if (Operand.isU7ImmX4())
3260
54
      return MCTargetAsmParser::Match_Success;
3261
0
    break;
3262
54
  // 'DispSPE8' class
3263
36
  case MCK_DispSPE8:
3264
36
    if (Operand.isU8ImmX8())
3265
36
      return MCTargetAsmParser::Match_Success;
3266
0
    break;
3267
36
  // 'RegCRBITRC' class
3268
377
  case MCK_RegCRBITRC:
3269
377
    if (Operand.isCRBitNumber())
3270
377
      return MCTargetAsmParser::Match_Success;
3271
0
    break;
3272
377
  // 'RegCRRC' class
3273
724
  case MCK_RegCRRC:
3274
724
    if (Operand.isCCRegNumber())
3275
724
      return MCTargetAsmParser::Match_Success;
3276
0
    break;
3277
724
  // 'RegF4RC' class
3278
256
  case MCK_RegF4RC:
3279
256
    if (Operand.isRegNumber())
3280
256
      return MCTargetAsmParser::Match_Success;
3281
0
    break;
3282
256
  // 'RegF8RC' class
3283
297
  case MCK_RegF8RC:
3284
297
    if (Operand.isRegNumber())
3285
297
      return MCTargetAsmParser::Match_Success;
3286
0
    break;
3287
297
  // 'RegG8RC' class
3288
890
  case MCK_RegG8RC:
3289
890
    if (Operand.isRegNumber())
3290
886
      return MCTargetAsmParser::Match_Success;
3291
4
    break;
3292
890
  // 'RegG8RCNoX0' class
3293
2
  case MCK_RegG8RCNoX0:
3294
2
    if (Operand.isRegNumber())
3295
2
      return MCTargetAsmParser::Match_Success;
3296
0
    break;
3297
2
  // 'RegGPRC' class
3298
3.20k
  case MCK_RegGPRC:
3299
3.20k
    if (Operand.isRegNumber())
3300
3.19k
      return MCTargetAsmParser::Match_Success;
3301
6
    break;
3302
3.20k
  // 'RegGPRCNoR0' class
3303
271
  case MCK_RegGPRCNoR0:
3304
271
    if (Operand.isRegNumber())
3305
271
      return MCTargetAsmParser::Match_Success;
3306
0
    break;
3307
271
  // 'RegGxRCNoR0' class
3308
682
  case MCK_RegGxRCNoR0:
3309
682
    if (Operand.isRegNumber())
3310
682
      return MCTargetAsmParser::Match_Success;
3311
0
    break;
3312
682
  // 'RegGxRC' class
3313
337
  case MCK_RegGxRC:
3314
337
    if (Operand.isRegNumber())
3315
337
      return MCTargetAsmParser::Match_Success;
3316
0
    break;
3317
337
  // 'RegQBRC' class
3318
34
  case MCK_RegQBRC:
3319
34
    if (Operand.isRegNumber())
3320
34
      return MCTargetAsmParser::Match_Success;
3321
0
    break;
3322
34
  // 'RegQFRC' class
3323
180
  case MCK_RegQFRC:
3324
180
    if (Operand.isRegNumber())
3325
180
      return MCTargetAsmParser::Match_Success;
3326
0
    break;
3327
180
  // 'RegQSRC' class
3328
28
  case MCK_RegQSRC:
3329
28
    if (Operand.isRegNumber())
3330
28
      return MCTargetAsmParser::Match_Success;
3331
0
    break;
3332
28
  // 'RegSPILLTOVSRRC' class
3333
0
  case MCK_RegSPILLTOVSRRC:
3334
0
    if (Operand.isVSRegNumber())
3335
0
      return MCTargetAsmParser::Match_Success;
3336
0
    break;
3337
0
  // 'RegVFRC' class
3338
18
  case MCK_RegVFRC:
3339
18
    if (Operand.isRegNumber())
3340
18
      return MCTargetAsmParser::Match_Success;
3341
0
    break;
3342
18
  // 'RegVRRC' class
3343
1.81k
  case MCK_RegVRRC:
3344
1.81k
    if (Operand.isRegNumber())
3345
1.81k
      return MCTargetAsmParser::Match_Success;
3346
0
    break;
3347
1.81k
  // 'RegVSFRC' class
3348
280
  case MCK_RegVSFRC:
3349
280
    if (Operand.isVSRegNumber())
3350
280
      return MCTargetAsmParser::Match_Success;
3351
0
    break;
3352
280
  // 'RegVSRC' class
3353
700
  case MCK_RegVSRC:
3354
700
    if (Operand.isVSRegNumber())
3355
700
      return MCTargetAsmParser::Match_Success;
3356
0
    break;
3357
700
  // 'RegVSSRC' class
3358
96
  case MCK_RegVSSRC:
3359
96
    if (Operand.isVSRegNumber())
3360
96
      return MCTargetAsmParser::Match_Success;
3361
0
    break;
3362
96
  // 'S16Imm' class
3363
302
  case MCK_S16Imm:
3364
302
    if (Operand.isS16Imm())
3365
298
      return MCTargetAsmParser::Match_Success;
3366
4
    break;
3367
302
  // 'S17Imm' class
3368
152
  case MCK_S17Imm:
3369
152
    if (Operand.isS17Imm())
3370
148
      return MCTargetAsmParser::Match_Success;
3371
4
    break;
3372
152
  // 'S5Imm' class
3373
6
  case MCK_S5Imm:
3374
6
    if (Operand.isS5Imm())
3375
6
      return MCTargetAsmParser::Match_Success;
3376
0
    break;
3377
6
  // 'TLSReg' class
3378
18
  case MCK_TLSReg:
3379
18
    if (Operand.isTLSReg())
3380
5
      return MCTargetAsmParser::Match_Success;
3381
13
    break;
3382
18
  // 'U10Imm' class
3383
2
  case MCK_U10Imm:
3384
2
    if (Operand.isU10Imm())
3385
2
      return MCTargetAsmParser::Match_Success;
3386
0
    break;
3387
2
  // 'U12Imm' class
3388
1
  case MCK_U12Imm:
3389
1
    if (Operand.isU12Imm())
3390
1
      return MCTargetAsmParser::Match_Success;
3391
0
    break;
3392
1
  // 'U16Imm' class
3393
64
  case MCK_U16Imm:
3394
64
    if (Operand.isU16Imm())
3395
60
      return MCTargetAsmParser::Match_Success;
3396
4
    break;
3397
64
  // 'U1Imm' class
3398
46
  case MCK_U1Imm:
3399
46
    if (Operand.isU1Imm())
3400
46
      return MCTargetAsmParser::Match_Success;
3401
0
    break;
3402
46
  // 'U2Imm' class
3403
14
  case MCK_U2Imm:
3404
14
    if (Operand.isU2Imm())
3405
14
      return MCTargetAsmParser::Match_Success;
3406
0
    break;
3407
14
  // 'U3Imm' class
3408
2
  case MCK_U3Imm:
3409
2
    if (Operand.isU3Imm())
3410
2
      return MCTargetAsmParser::Match_Success;
3411
0
    break;
3412
2
  // 'U4Imm' class
3413
34
  case MCK_U4Imm:
3414
34
    if (Operand.isU4Imm())
3415
34
      return MCTargetAsmParser::Match_Success;
3416
0
    break;
3417
34
  // 'U5Imm' class
3418
297
  case MCK_U5Imm:
3419
297
    if (Operand.isU5Imm())
3420
297
      return MCTargetAsmParser::Match_Success;
3421
0
    break;
3422
297
  // 'U6Imm' class
3423
108
  case MCK_U6Imm:
3424
108
    if (Operand.isU6Imm())
3425
108
      return MCTargetAsmParser::Match_Success;
3426
0
    break;
3427
108
  // 'U7Imm' class
3428
12
  case MCK_U7Imm:
3429
12
    if (Operand.isU7Imm())
3430
12
      return MCTargetAsmParser::Match_Success;
3431
0
    break;
3432
12
  // 'U8Imm' class
3433
2
  case MCK_U8Imm:
3434
2
    if (Operand.isU8Imm())
3435
2
      return MCTargetAsmParser::Match_Success;
3436
0
    break;
3437
13.2k
  } // end switch (Kind)
3438
13.2k
3439
697
  
if (697
Operand.isReg()697
) {
3440
0
    MatchClassKind OpKind;
3441
0
    switch (Operand.getReg()) {
3442
0
    default: OpKind = InvalidMatchClass; break;
3443
0
    case PPC::R0: OpKind = MCK_GPRC; break;
3444
0
    case PPC::R1: OpKind = MCK_Reg2; break;
3445
0
    case PPC::R2: OpKind = MCK_Reg2; break;
3446
0
    case PPC::R3: OpKind = MCK_Reg2; break;
3447
0
    case PPC::R4: OpKind = MCK_Reg2; break;
3448
0
    case PPC::R5: OpKind = MCK_Reg2; break;
3449
0
    case PPC::R6: OpKind = MCK_Reg2; break;
3450
0
    case PPC::R7: OpKind = MCK_Reg2; break;
3451
0
    case PPC::R8: OpKind = MCK_Reg2; break;
3452
0
    case PPC::R9: OpKind = MCK_Reg2; break;
3453
0
    case PPC::R10: OpKind = MCK_Reg2; break;
3454
0
    case PPC::R11: OpKind = MCK_Reg2; break;
3455
0
    case PPC::R12: OpKind = MCK_Reg2; break;
3456
0
    case PPC::R13: OpKind = MCK_Reg2; break;
3457
0
    case PPC::R14: OpKind = MCK_Reg2; break;
3458
0
    case PPC::R15: OpKind = MCK_Reg2; break;
3459
0
    case PPC::R16: OpKind = MCK_Reg2; break;
3460
0
    case PPC::R17: OpKind = MCK_Reg2; break;
3461
0
    case PPC::R18: OpKind = MCK_Reg2; break;
3462
0
    case PPC::R19: OpKind = MCK_Reg2; break;
3463
0
    case PPC::R20: OpKind = MCK_Reg2; break;
3464
0
    case PPC::R21: OpKind = MCK_Reg2; break;
3465
0
    case PPC::R22: OpKind = MCK_Reg2; break;
3466
0
    case PPC::R23: OpKind = MCK_Reg2; break;
3467
0
    case PPC::R24: OpKind = MCK_Reg2; break;
3468
0
    case PPC::R25: OpKind = MCK_Reg2; break;
3469
0
    case PPC::R26: OpKind = MCK_Reg2; break;
3470
0
    case PPC::R27: OpKind = MCK_Reg2; break;
3471
0
    case PPC::R28: OpKind = MCK_Reg2; break;
3472
0
    case PPC::R29: OpKind = MCK_Reg2; break;
3473
0
    case PPC::R30: OpKind = MCK_Reg2; break;
3474
0
    case PPC::R31: OpKind = MCK_Reg2; break;
3475
0
    case PPC::X0: OpKind = MCK_G8RC; break;
3476
0
    case PPC::X1: OpKind = MCK_Reg6; break;
3477
0
    case PPC::X2: OpKind = MCK_Reg6; break;
3478
0
    case PPC::X3: OpKind = MCK_Reg6; break;
3479
0
    case PPC::X4: OpKind = MCK_Reg6; break;
3480
0
    case PPC::X5: OpKind = MCK_Reg6; break;
3481
0
    case PPC::X6: OpKind = MCK_Reg6; break;
3482
0
    case PPC::X7: OpKind = MCK_Reg6; break;
3483
0
    case PPC::X8: OpKind = MCK_Reg6; break;
3484
0
    case PPC::X9: OpKind = MCK_Reg6; break;
3485
0
    case PPC::X10: OpKind = MCK_Reg6; break;
3486
0
    case PPC::X11: OpKind = MCK_Reg6; break;
3487
0
    case PPC::X12: OpKind = MCK_Reg6; break;
3488
0
    case PPC::X13: OpKind = MCK_Reg6; break;
3489
0
    case PPC::X14: OpKind = MCK_Reg6; break;
3490
0
    case PPC::X15: OpKind = MCK_Reg6; break;
3491
0
    case PPC::X16: OpKind = MCK_Reg6; break;
3492
0
    case PPC::X17: OpKind = MCK_Reg6; break;
3493
0
    case PPC::X18: OpKind = MCK_Reg6; break;
3494
0
    case PPC::X19: OpKind = MCK_Reg6; break;
3495
0
    case PPC::X20: OpKind = MCK_Reg6; break;
3496
0
    case PPC::X21: OpKind = MCK_Reg6; break;
3497
0
    case PPC::X22: OpKind = MCK_Reg6; break;
3498
0
    case PPC::X23: OpKind = MCK_Reg6; break;
3499
0
    case PPC::X24: OpKind = MCK_Reg6; break;
3500
0
    case PPC::X25: OpKind = MCK_Reg6; break;
3501
0
    case PPC::X26: OpKind = MCK_Reg6; break;
3502
0
    case PPC::X27: OpKind = MCK_Reg6; break;
3503
0
    case PPC::X28: OpKind = MCK_Reg6; break;
3504
0
    case PPC::X29: OpKind = MCK_Reg6; break;
3505
0
    case PPC::X30: OpKind = MCK_Reg6; break;
3506
0
    case PPC::X31: OpKind = MCK_Reg6; break;
3507
0
    case PPC::F0: OpKind = MCK_Reg7; break;
3508
0
    case PPC::F1: OpKind = MCK_Reg7; break;
3509
0
    case PPC::F2: OpKind = MCK_Reg7; break;
3510
0
    case PPC::F3: OpKind = MCK_Reg7; break;
3511
0
    case PPC::F4: OpKind = MCK_Reg7; break;
3512
0
    case PPC::F5: OpKind = MCK_Reg7; break;
3513
0
    case PPC::F6: OpKind = MCK_Reg7; break;
3514
0
    case PPC::F7: OpKind = MCK_Reg7; break;
3515
0
    case PPC::F8: OpKind = MCK_Reg7; break;
3516
0
    case PPC::F9: OpKind = MCK_Reg7; break;
3517
0
    case PPC::F10: OpKind = MCK_Reg7; break;
3518
0
    case PPC::F11: OpKind = MCK_Reg7; break;
3519
0
    case PPC::F12: OpKind = MCK_Reg7; break;
3520
0
    case PPC::F13: OpKind = MCK_Reg7; break;
3521
0
    case PPC::F14: OpKind = MCK_F4RC; break;
3522
0
    case PPC::F15: OpKind = MCK_F4RC; break;
3523
0
    case PPC::F16: OpKind = MCK_F4RC; break;
3524
0
    case PPC::F17: OpKind = MCK_F4RC; break;
3525
0
    case PPC::F18: OpKind = MCK_F4RC; break;
3526
0
    case PPC::F19: OpKind = MCK_F4RC; break;
3527
0
    case PPC::F20: OpKind = MCK_F4RC; break;
3528
0
    case PPC::F21: OpKind = MCK_F4RC; break;
3529
0
    case PPC::F22: OpKind = MCK_F4RC; break;
3530
0
    case PPC::F23: OpKind = MCK_F4RC; break;
3531
0
    case PPC::F24: OpKind = MCK_F4RC; break;
3532
0
    case PPC::F25: OpKind = MCK_F4RC; break;
3533
0
    case PPC::F26: OpKind = MCK_F4RC; break;
3534
0
    case PPC::F27: OpKind = MCK_F4RC; break;
3535
0
    case PPC::F28: OpKind = MCK_F4RC; break;
3536
0
    case PPC::F29: OpKind = MCK_F4RC; break;
3537
0
    case PPC::F30: OpKind = MCK_F4RC; break;
3538
0
    case PPC::F31: OpKind = MCK_F4RC; break;
3539
0
    case PPC::VF0: OpKind = MCK_Reg11; break;
3540
0
    case PPC::VF1: OpKind = MCK_Reg11; break;
3541
0
    case PPC::VF2: OpKind = MCK_Reg11; break;
3542
0
    case PPC::VF3: OpKind = MCK_Reg11; break;
3543
0
    case PPC::VF4: OpKind = MCK_Reg11; break;
3544
0
    case PPC::VF5: OpKind = MCK_Reg11; break;
3545
0
    case PPC::VF6: OpKind = MCK_Reg11; break;
3546
0
    case PPC::VF7: OpKind = MCK_Reg11; break;
3547
0
    case PPC::VF8: OpKind = MCK_Reg11; break;
3548
0
    case PPC::VF9: OpKind = MCK_Reg11; break;
3549
0
    case PPC::VF10: OpKind = MCK_Reg11; break;
3550
0
    case PPC::VF11: OpKind = MCK_Reg11; break;
3551
0
    case PPC::VF12: OpKind = MCK_Reg11; break;
3552
0
    case PPC::VF13: OpKind = MCK_Reg11; break;
3553
0
    case PPC::VF14: OpKind = MCK_Reg11; break;
3554
0
    case PPC::VF15: OpKind = MCK_Reg11; break;
3555
0
    case PPC::VF16: OpKind = MCK_Reg11; break;
3556
0
    case PPC::VF17: OpKind = MCK_Reg11; break;
3557
0
    case PPC::VF18: OpKind = MCK_Reg11; break;
3558
0
    case PPC::VF19: OpKind = MCK_Reg11; break;
3559
0
    case PPC::VF20: OpKind = MCK_VFRC; break;
3560
0
    case PPC::VF21: OpKind = MCK_VFRC; break;
3561
0
    case PPC::VF22: OpKind = MCK_VFRC; break;
3562
0
    case PPC::VF23: OpKind = MCK_VFRC; break;
3563
0
    case PPC::VF24: OpKind = MCK_VFRC; break;
3564
0
    case PPC::VF25: OpKind = MCK_VFRC; break;
3565
0
    case PPC::VF26: OpKind = MCK_VFRC; break;
3566
0
    case PPC::VF27: OpKind = MCK_VFRC; break;
3567
0
    case PPC::VF28: OpKind = MCK_VFRC; break;
3568
0
    case PPC::VF29: OpKind = MCK_VFRC; break;
3569
0
    case PPC::VF30: OpKind = MCK_VFRC; break;
3570
0
    case PPC::VF31: OpKind = MCK_VFRC; break;
3571
0
    case PPC::QF0: OpKind = MCK_Reg13; break;
3572
0
    case PPC::QF1: OpKind = MCK_Reg13; break;
3573
0
    case PPC::QF2: OpKind = MCK_Reg13; break;
3574
0
    case PPC::QF3: OpKind = MCK_Reg13; break;
3575
0
    case PPC::QF4: OpKind = MCK_Reg13; break;
3576
0
    case PPC::QF5: OpKind = MCK_Reg13; break;
3577
0
    case PPC::QF6: OpKind = MCK_Reg13; break;
3578
0
    case PPC::QF7: OpKind = MCK_Reg13; break;
3579
0
    case PPC::QF8: OpKind = MCK_Reg13; break;
3580
0
    case PPC::QF9: OpKind = MCK_Reg13; break;
3581
0
    case PPC::QF10: OpKind = MCK_Reg13; break;
3582
0
    case PPC::QF11: OpKind = MCK_Reg13; break;
3583
0
    case PPC::QF12: OpKind = MCK_Reg13; break;
3584
0
    case PPC::QF13: OpKind = MCK_Reg13; break;
3585
0
    case PPC::QF14: OpKind = MCK_QSRC; break;
3586
0
    case PPC::QF15: OpKind = MCK_QSRC; break;
3587
0
    case PPC::QF16: OpKind = MCK_QSRC; break;
3588
0
    case PPC::QF17: OpKind = MCK_QSRC; break;
3589
0
    case PPC::QF18: OpKind = MCK_QSRC; break;
3590
0
    case PPC::QF19: OpKind = MCK_QSRC; break;
3591
0
    case PPC::QF20: OpKind = MCK_QSRC; break;
3592
0
    case PPC::QF21: OpKind = MCK_QSRC; break;
3593
0
    case PPC::QF22: OpKind = MCK_QSRC; break;
3594
0
    case PPC::QF23: OpKind = MCK_QSRC; break;
3595
0
    case PPC::QF24: OpKind = MCK_QSRC; break;
3596
0
    case PPC::QF25: OpKind = MCK_QSRC; break;
3597
0
    case PPC::QF26: OpKind = MCK_QSRC; break;
3598
0
    case PPC::QF27: OpKind = MCK_QSRC; break;
3599
0
    case PPC::QF28: OpKind = MCK_QSRC; break;
3600
0
    case PPC::QF29: OpKind = MCK_QSRC; break;
3601
0
    case PPC::QF30: OpKind = MCK_QSRC; break;
3602
0
    case PPC::QF31: OpKind = MCK_QSRC; break;
3603
0
    case PPC::V0: OpKind = MCK_Reg15; break;
3604
0
    case PPC::V1: OpKind = MCK_Reg15; break;
3605
0
    case PPC::V2: OpKind = MCK_Reg15; break;
3606
0
    case PPC::V3: OpKind = MCK_Reg15; break;
3607
0
    case PPC::V4: OpKind = MCK_Reg15; break;
3608
0
    case PPC::V5: OpKind = MCK_Reg15; break;
3609
0
    case PPC::V6: OpKind = MCK_Reg15; break;
3610
0
    case PPC::V7: OpKind = MCK_Reg15; break;
3611
0
    case PPC::V8: OpKind = MCK_Reg15; break;
3612
0
    case PPC::V9: OpKind = MCK_Reg15; break;
3613
0
    case PPC::V10: OpKind = MCK_Reg15; break;
3614
0
    case PPC::V11: OpKind = MCK_Reg15; break;
3615
0
    case PPC::V12: OpKind = MCK_Reg15; break;
3616
0
    case PPC::V13: OpKind = MCK_Reg15; break;
3617
0
    case PPC::V14: OpKind = MCK_Reg15; break;
3618
0
    case PPC::V15: OpKind = MCK_Reg15; break;
3619
0
    case PPC::V16: OpKind = MCK_Reg15; break;
3620
0
    case PPC::V17: OpKind = MCK_Reg15; break;
3621
0
    case PPC::V18: OpKind = MCK_Reg15; break;
3622
0
    case PPC::V19: OpKind = MCK_Reg15; break;
3623
0
    case PPC::V20: OpKind = MCK_VRRC; break;
3624
0
    case PPC::V21: OpKind = MCK_VRRC; break;
3625
0
    case PPC::V22: OpKind = MCK_VRRC; break;
3626
0
    case PPC::V23: OpKind = MCK_VRRC; break;
3627
0
    case PPC::V24: OpKind = MCK_VRRC; break;
3628
0
    case PPC::V25: OpKind = MCK_VRRC; break;
3629
0
    case PPC::V26: OpKind = MCK_VRRC; break;
3630
0
    case PPC::V27: OpKind = MCK_VRRC; break;
3631
0
    case PPC::V28: OpKind = MCK_VRRC; break;
3632
0
    case PPC::V29: OpKind = MCK_VRRC; break;
3633
0
    case PPC::V30: OpKind = MCK_VRRC; break;
3634
0
    case PPC::V31: OpKind = MCK_VRRC; break;
3635
0
    case PPC::VSL0: OpKind = MCK_Reg19; break;
3636
0
    case PPC::VSL1: OpKind = MCK_Reg19; break;
3637
0
    case PPC::VSL2: OpKind = MCK_Reg19; break;
3638
0
    case PPC::VSL3: OpKind = MCK_Reg19; break;
3639
0
    case PPC::VSL4: OpKind = MCK_Reg19; break;
3640
0
    case PPC::VSL5: OpKind = MCK_Reg19; break;
3641
0
    case PPC::VSL6: OpKind = MCK_Reg19; break;
3642
0
    case PPC::VSL7: OpKind = MCK_Reg19; break;
3643
0
    case PPC::VSL8: OpKind = MCK_Reg19; break;
3644
0
    case PPC::VSL9: OpKind = MCK_Reg19; break;
3645
0
    case PPC::VSL10: OpKind = MCK_Reg19; break;
3646
0
    case PPC::VSL11: OpKind = MCK_Reg19; break;
3647
0
    case PPC::VSL12: OpKind = MCK_Reg19; break;
3648
0
    case PPC::VSL13: OpKind = MCK_Reg19; break;
3649
0
    case PPC::VSL14: OpKind = MCK_VSLRC; break;
3650
0
    case PPC::VSL15: OpKind = MCK_VSLRC; break;
3651
0
    case PPC::VSL16: OpKind = MCK_VSLRC; break;
3652
0
    case PPC::VSL17: OpKind = MCK_VSLRC; break;
3653
0
    case PPC::VSL18: OpKind = MCK_VSLRC; break;
3654
0
    case PPC::VSL19: OpKind = MCK_VSLRC; break;
3655
0
    case PPC::VSL20: OpKind = MCK_VSLRC; break;
3656
0
    case PPC::VSL21: OpKind = MCK_VSLRC; break;
3657
0
    case PPC::VSL22: OpKind = MCK_VSLRC; break;
3658
0
    case PPC::VSL23: OpKind = MCK_VSLRC; break;
3659
0
    case PPC::VSL24: OpKind = MCK_VSLRC; break;
3660
0
    case PPC::VSL25: OpKind = MCK_VSLRC; break;
3661
0
    case PPC::VSL26: OpKind = MCK_VSLRC; break;
3662
0
    case PPC::VSL27: OpKind = MCK_VSLRC; break;
3663
0
    case PPC::VSL28: OpKind = MCK_VSLRC; break;
3664
0
    case PPC::VSL29: OpKind = MCK_VSLRC; break;
3665
0
    case PPC::VSL30: OpKind = MCK_VSLRC; break;
3666
0
    case PPC::VSL31: OpKind = MCK_VSLRC; break;
3667
0
    case PPC::ZERO: OpKind = MCK_GPRC_NOR0; break;
3668
0
    case PPC::ZERO8: OpKind = MCK_G8RC_NOX0; break;
3669
0
    case PPC::FP: OpKind = MCK_Reg2; break;
3670
0
    case PPC::FP8: OpKind = MCK_Reg6; break;
3671
0
    case PPC::BP: OpKind = MCK_Reg2; break;
3672
0
    case PPC::BP8: OpKind = MCK_Reg6; break;
3673
0
    case PPC::CR0LT: OpKind = MCK_CRBITRC; break;
3674
0
    case PPC::CR0GT: OpKind = MCK_CRBITRC; break;
3675
0
    case PPC::CR0EQ: OpKind = MCK_CRBITRC; break;
3676
0
    case PPC::CR0UN: OpKind = MCK_CRBITRC; break;
3677
0
    case PPC::CR1LT: OpKind = MCK_CRBITRC; break;
3678
0
    case PPC::CR1GT: OpKind = MCK_CRBITRC; break;
3679
0
    case PPC::CR1EQ: OpKind = MCK_CRBITRC; break;
3680
0
    case PPC::CR1UN: OpKind = MCK_CRBITRC; break;
3681
0
    case PPC::CR2LT: OpKind = MCK_CRBITRC; break;
3682
0
    case PPC::CR2GT: OpKind = MCK_CRBITRC; break;
3683
0
    case PPC::CR2EQ: OpKind = MCK_CRBITRC; break;
3684
0
    case PPC::CR2UN: OpKind = MCK_CRBITRC; break;
3685
0
    case PPC::CR3LT: OpKind = MCK_CRBITRC; break;
3686
0
    case PPC::CR3GT: OpKind = MCK_CRBITRC; break;
3687
0
    case PPC::CR3EQ: OpKind = MCK_CRBITRC; break;
3688
0
    case PPC::CR3UN: OpKind = MCK_CRBITRC; break;
3689
0
    case PPC::CR4LT: OpKind = MCK_CRBITRC; break;
3690
0
    case PPC::CR4GT: OpKind = MCK_CRBITRC; break;
3691
0
    case PPC::CR4EQ: OpKind = MCK_CRBITRC; break;
3692
0
    case PPC::CR4UN: OpKind = MCK_CRBITRC; break;
3693
0
    case PPC::CR5LT: OpKind = MCK_CRBITRC; break;
3694
0
    case PPC::CR5GT: OpKind = MCK_CRBITRC; break;
3695
0
    case PPC::CR5EQ: OpKind = MCK_CRBITRC; break;
3696
0
    case PPC::CR5UN: OpKind = MCK_CRBITRC; break;
3697
0
    case PPC::CR6LT: OpKind = MCK_CRBITRC; break;
3698
0
    case PPC::CR6GT: OpKind = MCK_CRBITRC; break;
3699
0
    case PPC::CR6EQ: OpKind = MCK_CRBITRC; break;
3700
0
    case PPC::CR6UN: OpKind = MCK_CRBITRC; break;
3701
0
    case PPC::CR7LT: OpKind = MCK_CRBITRC; break;
3702
0
    case PPC::CR7GT: OpKind = MCK_CRBITRC; break;
3703
0
    case PPC::CR7EQ: OpKind = MCK_CRBITRC; break;
3704
0
    case PPC::CR7UN: OpKind = MCK_CRBITRC; break;
3705
0
    case PPC::CR0: OpKind = MCK_CRRC0; break;
3706
0
    case PPC::CR1: OpKind = MCK_CRRC; break;
3707
0
    case PPC::CR2: OpKind = MCK_CRRC; break;
3708
0
    case PPC::CR3: OpKind = MCK_CRRC; break;
3709
0
    case PPC::CR4: OpKind = MCK_CRRC; break;
3710
0
    case PPC::CR5: OpKind = MCK_CRRC; break;
3711
0
    case PPC::CR6: OpKind = MCK_CRRC; break;
3712
0
    case PPC::CR7: OpKind = MCK_CRRC; break;
3713
0
    case PPC::CTR: OpKind = MCK_CTRRC; break;
3714
0
    case PPC::CTR8: OpKind = MCK_CTRRC8; break;
3715
0
    case PPC::VRSAVE: OpKind = MCK_VRSAVERC; break;
3716
0
    case PPC::CARRY: OpKind = MCK_CARRYRC; break;
3717
0
    }
3718
0
    
return isSubclass(OpKind, Kind) ? 0
MCTargetAsmParser::Match_Success0
:
3719
0
                                      MCTargetAsmParser::Match_InvalidOperand;
3720
0
  }
3721
697
3722
697
  return MCTargetAsmParser::Match_InvalidOperand;
3723
14.4k
}
3724
3725
uint64_t PPCAsmParser::
3726
262
ComputeAvailableFeatures(const FeatureBitset& FB) const {
3727
262
  uint64_t Features = 0;
3728
262
  return Features;
3729
262
}
3730
3731
static const char *const MnemonicTable =
3732
    "\003add\004addc\004adde\004addi\005addic\005addis\005addme\007addpcis\005"
3733
    "addze\003and\004andc\004andi\005andis\004attn\001b\002ba\002bc\003bc+\003"
3734
    "bc-\003bca\004bca+\004bca-\005bcctr\006bcctrl\006bcdcfn\007bcdcfsq\006b"
3735
    "cdcfz\010bcdcpsgn\006bcdctn\007bcdctsq\006bcdctz\004bcds\tbcdsetsgn\005"
3736
    "bcdsr\010bcdtrunc\005bcdus\tbcdutrunc\003bcl\004bcl+\004bcl-\004bcla\005"
3737
    "bcla+\005bcla-\004bclr\005bclrl\004bctr\005bctrl\004bdnz\005bdnz+\005bd"
3738
    "nz-\005bdnza\006bdnza+\006bdnza-\005bdnzf\006bdnzfa\006bdnzfl\007bdnzfl"
3739
    "a\007bdnzflr\010bdnzflrl\005bdnzl\006bdnzl+\006bdnzl-\006bdnzla\007bdnz"
3740
    "la+\007bdnzla-\006bdnzlr\007bdnzlr+\007bdnzlr-\007bdnzlrl\010bdnzlrl+\010"
3741
    "bdnzlrl-\005bdnzt\006bdnzta\006bdnztl\007bdnztla\007bdnztlr\010bdnztlrl"
3742
    "\003bdz\004bdz+\004bdz-\004bdza\005bdza+\005bdza-\004bdzf\005bdzfa\005b"
3743
    "dzfl\006bdzfla\006bdzflr\007bdzflrl\004bdzl\005bdzl+\005bdzl-\005bdzla\006"
3744
    "bdzla+\006bdzla-\005bdzlr\006bdzlr+\006bdzlr-\006bdzlrl\007bdzlrl+\007b"
3745
    "dzlrl-\004bdzt\005bdzta\005bdztl\006bdztla\006bdztlr\007bdztlrl\003beq\004"
3746
    "beq+\004beq-\004beqa\005beqa+\005beqa-\006beqctr\007beqctr+\007beqctr-\007"
3747
    "beqctrl\010beqctrl+\010beqctrl-\004beql\005beql+\005beql-\005beqla\006b"
3748
    "eqla+\006beqla-\005beqlr\006beqlr+\006beqlr-\006beqlrl\007beqlrl+\007be"
3749
    "qlrl-\002bf\003bf+\003bf-\003bfa\004bfa+\004bfa-\005bfctr\006bfctr+\006"
3750
    "bfctr-\006bfctrl\007bfctrl+\007bfctrl-\003bfl\004bfl+\004bfl-\004bfla\005"
3751
    "bfla+\005bfla-\004bflr\005bflr+\005bflr-\005bflrl\006bflrl+\006bflrl-\003"
3752
    "bge\004bge+\004bge-\004bgea\005bgea+\005bgea-\006bgectr\007bgectr+\007b"
3753
    "gectr-\007bgectrl\010bgectrl+\010bgectrl-\004bgel\005bgel+\005bgel-\005"
3754
    "bgela\006bgela+\006bgela-\005bgelr\006bgelr+\006bgelr-\006bgelrl\007bge"
3755
    "lrl+\007bgelrl-\003bgt\004bgt+\004bgt-\004bgta\005bgta+\005bgta-\006bgt"
3756
    "ctr\007bgtctr+\007bgtctr-\007bgtctrl\010bgtctrl+\010bgtctrl-\004bgtl\005"
3757
    "bgtl+\005bgtl-\005bgtla\006bgtla+\006bgtla-\005bgtlr\006bgtlr+\006bgtlr"
3758
    "-\006bgtlrl\007bgtlrl+\007bgtlrl-\002bl\003bla\003ble\004ble+\004ble-\004"
3759
    "blea\005blea+\005blea-\006blectr\007blectr+\007blectr-\007blectrl\010bl"
3760
    "ectrl+\010blectrl-\004blel\005blel+\005blel-\005blela\006blela+\006blel"
3761
    "a-\005blelr\006blelr+\006blelr-\006blelrl\007blelrl+\007blelrl-\003blr\004"
3762
    "blrl\003blt\004blt+\004blt-\004blta\005blta+\005blta-\006bltctr\007bltc"
3763
    "tr+\007bltctr-\007bltctrl\010bltctrl+\010bltctrl-\004bltl\005bltl+\005b"
3764
    "ltl-\005bltla\006bltla+\006bltla-\005bltlr\006bltlr+\006bltlr-\006bltlr"
3765
    "l\007bltlrl+\007bltlrl-\003bne\004bne+\004bne-\004bnea\005bnea+\005bnea"
3766
    "-\006bnectr\007bnectr+\007bnectr-\007bnectrl\010bnectrl+\010bnectrl-\004"
3767
    "bnel\005bnel+\005bnel-\005bnela\006bnela+\006bnela-\005bnelr\006bnelr+\006"
3768
    "bnelr-\006bnelrl\007bnelrl+\007bnelrl-\003bng\004bng+\004bng-\004bnga\005"
3769
    "bnga+\005bnga-\006bngctr\007bngctr+\007bngctr-\007bngctrl\010bngctrl+\010"
3770
    "bngctrl-\004bngl\005bngl+\005bngl-\005bngla\006bngla+\006bngla-\005bngl"
3771
    "r\006bnglr+\006bnglr-\006bnglrl\007bnglrl+\007bnglrl-\003bnl\004bnl+\004"
3772
    "bnl-\004bnla\005bnla+\005bnla-\006bnlctr\007bnlctr+\007bnlctr-\007bnlct"
3773
    "rl\010bnlctrl+\010bnlctrl-\004bnll\005bnll+\005bnll-\005bnlla\006bnlla+"
3774
    "\006bnlla-\005bnllr\006bnllr+\006bnllr-\006bnllrl\007bnllrl+\007bnllrl-"
3775
    "\003bns\004bns+\004bns-\004bnsa\005bnsa+\005bnsa-\006bnsctr\007bnsctr+\007"
3776
    "bnsctr-\007bnsctrl\010bnsctrl+\010bnsctrl-\004bnsl\005bnsl+\005bnsl-\005"
3777
    "bnsla\006bnsla+\006bnsla-\005bnslr\006bnslr+\006bnslr-\006bnslrl\007bns"
3778
    "lrl+\007bnslrl-\003bnu\004bnu+\004bnu-\004bnua\005bnua+\005bnua-\006bnu"
3779
    "ctr\007bnuctr+\007bnuctr-\007bnuctrl\010bnuctrl+\010bnuctrl-\004bnul\005"
3780
    "bnul+\005bnul-\005bnula\006bnula+\006bnula-\005bnulr\006bnulr+\006bnulr"
3781
    "-\006bnulrl\007bnulrl+\007bnulrl-\006bpermd\005brinc\003bso\004bso+\004"
3782
    "bso-\004bsoa\005bsoa+\005bsoa-\006bsoctr\007bsoctr+\007bsoctr-\007bsoct"
3783
    "rl\010bsoctrl+\010bsoctrl-\004bsol\005bsol+\005bsol-\005bsola\006bsola+"
3784
    "\006bsola-\005bsolr\006bsolr+\006bsolr-\006bsolrl\007bsolrl+\007bsolrl-"
3785
    "\002bt\003bt+\003bt-\003bta\004bta+\004bta-\005btctr\006btctr+\006btctr"
3786
    "-\006btctrl\007btctrl+\007btctrl-\003btl\004btl+\004btl-\004btla\005btl"
3787
    "a+\005btla-\004btlr\005btlr+\005btlr-\005btlrl\006btlrl+\006btlrl-\003b"
3788
    "un\004bun+\004bun-\004buna\005buna+\005buna-\006bunctr\007bunctr+\007bu"
3789
    "nctr-\007bunctrl\010bunctrl+\010bunctrl-\004bunl\005bunl+\005bunl-\005b"
3790
    "unla\006bunla+\006bunla-\005bunlr\006bunlr+\006bunlr-\006bunlrl\007bunl"
3791
    "rl+\007bunlrl-\007clrbhrb\006clrldi\010clrlsldi\010clrlslwi\006clrlwi\006"
3792
    "clrrdi\006clrrwi\003cmp\004cmpb\004cmpd\005cmpdi\006cmpeqb\004cmpi\004c"
3793
    "mpl\005cmpld\006cmpldi\005cmpli\005cmplw\006cmplwi\005cmprb\004cmpw\005"
3794
    "cmpwi\006cntlzd\006cntlzw\006cnttzd\006cnttzw\004copy\ncopy_first\010cp"
3795
    "_abort\005crand\006crandc\005crclr\005creqv\006crmove\006crnand\005crno"
3796
    "r\005crnot\004cror\005crorc\005crset\005crxor\004darn\004dcba\004dcbf\005"
3797
    "dcbfl\006dcbflp\004dcbi\005dcbst\004dcbt\006dcbtct\006dcbtds\006dcbtst\010"
3798
    "dcbtstct\010dcbtstds\007dcbtstt\005dcbtt\004dcbz\005dcbzl\005dccci\003d"
3799
    "ci\004divd\005divde\006divdeu\005divdu\004divw\005divwe\006divweu\005di"
3800
    "vwu\003dss\006dssall\003dst\005dstst\006dststt\004dstt\005eieio\003eqv\005"
3801
    "evabs\007evaddiw\013evaddsmiaaw\013evaddssiaaw\013evaddumiaaw\013evaddu"
3802
    "siaaw\006evaddw\005evand\006evandc\007evcmpeq\010evcmpgts\010evcmpgtu\010"
3803
    "evcmplts\010evcmpltu\010evcntlsw\010evcntlzw\007evdivws\007evdivwu\005e"
3804
    "veqv\007evextsb\007evextsh\005evldd\006evlddx\005evldh\006evldhx\005evl"
3805
    "dw\006evldwx\013evlhhesplat\014evlhhesplatx\014evlhhossplat\015evlhhoss"
3806
    "platx\014evlhhousplat\015evlhhousplatx\006evlwhe\007evlwhex\007evlwhos\010"
3807
    "evlwhosx\007evlwhou\010evlwhoux\nevlwhsplat\013evlwhsplatx\nevlwwsplat\013"
3808
    "evlwwsplatx\tevmergehi\013evmergehilo\tevmergelo\013evmergelohi\013evmh"
3809
    "egsmfaa\013evmhegsmfan\013evmhegsmiaa\013evmhegsmian\013evmhegumiaa\013"
3810
    "evmhegumian\010evmhesmf\tevmhesmfa\013evmhesmfaaw\013evmhesmfanw\010evm"
3811
    "hesmi\tevmhesmia\013evmhesmiaaw\013evmhesmianw\010evmhessf\tevmhessfa\013"
3812
    "evmhessfaaw\013evmhessfanw\013evmhessiaaw\013evmhessianw\010evmheumi\te"
3813
    "vmheumia\013evmheumiaaw\013evmheumianw\013evmheusiaaw\013evmheusianw\013"
3814
    "evmhogsmfaa\013evmhogsmfan\013evmhogsmiaa\013evmhogsmian\013evmhogumiaa"
3815
    "\013evmhogumian\010evmhosmf\tevmhosmfa\013evmhosmfaaw\013evmhosmfanw\010"
3816
    "evmhosmi\tevmhosmia\013evmhosmiaaw\013evmhosmianw\010evmhossf\tevmhossf"
3817
    "a\013evmhossfaaw\013evmhossfanw\013evmhossiaaw\013evmhossianw\010evmhou"
3818
    "mi\tevmhoumia\013evmhoumiaaw\013evmhoumianw\013evmhousiaaw\013evmhousia"
3819
    "nw\005evmra\010evmwhsmf\tevmwhsmfa\010evmwhsmi\tevmwhsmia\010evmwhssf\t"
3820
    "evmwhssfa\010evmwhumi\tevmwhumia\013evmwlsmiaaw\013evmwlsmianw\013evmwl"
3821
    "ssiaaw\013evmwlssianw\010evmwlumi\tevmwlumia\013evmwlumiaaw\013evmwlumi"
3822
    "anw\013evmwlusiaaw\013evmwlusianw\007evmwsmf\010evmwsmfa\tevmwsmfaa\tev"
3823
    "mwsmfan\007evmwsmi\010evmwsmia\tevmwsmiaa\tevmwsmian\007evmwssf\010evmw"
3824
    "ssfa\tevmwssfaa\tevmwssfan\007evmwumi\010evmwumia\tevmwumiaa\tevmwumian"
3825
    "\006evnand\005evneg\005evnor\004evor\005evorc\005evrlw\006evrlwi\006evr"
3826
    "ndw\005evslw\006evslwi\tevsplatfi\010evsplati\007evsrwis\007evsrwiu\006"
3827
    "evsrws\006evsrwu\006evstdd\007evstddx\006evstdh\007evstdhx\006evstdw\007"
3828
    "evstdwx\007evstwhe\010evstwhex\007evstwho\010evstwhox\007evstwwe\010evs"
3829
    "twwex\007evstwwo\010evstwwox\014evsubfsmiaaw\014evsubfssiaaw\014evsubfu"
3830
    "miaaw\014evsubfusiaaw\007evsubfw\010evsubifw\005evxor\006extldi\006extl"
3831
    "wi\006extrdi\006extrwi\005extsb\005extsh\005extsw\010extswsli\004fabs\004"
3832
    "fadd\005fadds\005fcfid\006fcfids\006fcfidu\007fcfidus\005fcmpu\006fcpsg"
3833
    "n\005fctid\006fctidu\007fctiduz\006fctidz\005fctiw\006fctiwu\007fctiwuz"
3834
    "\006fctiwz\004fdiv\005fdivs\005fmadd\006fmadds\003fmr\005fmsub\006fmsub"
3835
    "s\004fmul\005fmuls\005fnabs\004fneg\006fnmadd\007fnmadds\006fnmsub\007f"
3836
    "nmsubs\003fre\004fres\004frim\004frin\004frip\004friz\004frsp\007frsqrt"
3837
    "e\010frsqrtes\004fsel\005fsqrt\006fsqrts\004fsub\005fsubs\005ftdiv\006f"
3838
    "tsqrt\005hrfid\004icbi\005icblc\005icblq\004icbt\006icbtls\005iccci\003"
3839
    "ici\006inslwi\006insrdi\006insrwi\004isel\005isync\002la\005lbarx\003lb"
3840
    "z\006lbzcix\004lbzu\005lbzux\004lbzx\002ld\005ldarx\004ldat\005ldbrx\005"
3841
    "ldcix\004ldmx\003ldu\004ldux\003ldx\003lfd\004lfdu\005lfdux\004lfdx\006"
3842
    "lfiwax\006lfiwzx\003lfs\004lfsu\005lfsux\004lfsx\003lha\005lharx\004lha"
3843
    "u\005lhaux\004lhax\005lhbrx\003lhz\006lhzcix\004lhzu\005lhzux\004lhzx\002"
3844
    "li\003lis\003lmw\004lnia\004lswi\005lvebx\005lvehx\005lvewx\004lvsl\004"
3845
    "lvsr\003lvx\004lvxl\003lwa\005lwarx\004lwat\005lwaux\004lwax\005lwbrx\006"
3846
    "lwsync\003lwz\006lwzcix\004lwzu\005lwzux\004lwzx\004lxsd\005lxsdx\007lx"
3847
    "sibzx\007lxsihzx\007lxsiwax\007lxsiwzx\005lxssp\006lxsspx\003lxv\007lxv"
3848
    "b16x\006lxvd2x\006lxvdsx\006lxvh8x\004lxvl\005lxvll\006lxvw4x\006lxvwsx"
3849
    "\004lxvx\006maddhd\007maddhdu\006maddld\004mbar\004mcrf\005mcrfs\006mcr"
3850
    "xrx\005mfamr\005mfasr\007mfbhrbe\005mfbr0\005mfbr1\005mfbr2\005mfbr3\005"
3851
    "mfbr4\005mfbr5\005mfbr6\005mfbr7\006mfcfar\004mfcr\005mfctr\005mfdar\007"
3852
    "mfdbatl\007mfdbatu\006mfdccr\005mfdcr\006mfdear\005mfdec\006mfdscr\007m"
3853
    "fdsisr\005mfesr\006mffprd\004mffs\010mffscdrn\tmffscdrni\006mffsce\007m"
3854
    "ffscrn\010mffscrni\005mffsl\007mfibatl\007mfibatu\006mficcr\004mflr\005"
3855
    "mfmsr\006mfocrf\005mfpid\005mfpmr\005mfpvr\006mfrtcl\006mfrtcu\006mfsdr"
3856
    "1\tmfspefscr\005mfspr\006mfsprg\007mfsprg0\007mfsprg1\007mfsprg2\007mfs"
3857
    "prg3\007mfsprg4\007mfsprg5\007mfsprg6\007mfsprg7\004mfsr\006mfsrin\006m"
3858
    "fsrr0\006mfsrr1\006mfsrr2\006mfsrr3\004mftb\006mftbhi\005mftbl\006mftbl"
3859
    "o\005mftbu\005mftcr\005mfvrd\010mfvrsave\006mfvscr\006mfvsrd\007mfvsrld"
3860
    "\007mfvsrwz\005mfxer\005modsd\005modsw\005modud\005moduw\002mr\007msgsy"
3861
    "nc\005msync\005mtamr\005mtasr\005mtbr0\005mtbr1\005mtbr2\005mtbr3\005mt"
3862
    "br4\005mtbr5\005mtbr6\005mtbr7\006mtcfar\004mtcr\005mtcrf\005mtctr\005m"
3863
    "tdar\007mtdbatl\007mtdbatu\006mtdccr\005mtdcr\006mtdear\005mtdec\006mtd"
3864
    "scr\007mtdsisr\005mtesr\006mtfsb0\006mtfsb1\005mtfsf\006mtfsfi\007mtiba"
3865
    "tl\007mtibatu\006mticcr\004mtlr\005mtmsr\006mtmsrd\006mtocrf\005mtpid\005"
3866
    "mtpmr\006mtsdr1\tmtspefscr\005mtspr\006mtsprg\007mtsprg0\007mtsprg1\007"
3867
    "mtsprg2\007mtsprg3\007mtsprg4\007mtsprg5\007mtsprg6\007mtsprg7\004mtsr\006"
3868
    "mtsrin\006mtsrr0\006mtsrr1\006mtsrr2\006mtsrr3\006mttbhi\005mttbl\006mt"
3869
    "tblo\005mttbu\005mttcr\010mtvrsave\006mtvscr\006mtvsrd\007mtvsrdd\007mt"
3870
    "vsrwa\007mtvsrws\007mtvsrwz\005mtxer\005mulhd\006mulhdu\005mulhw\006mul"
3871
    "hwu\005mulld\005mulli\005mullw\004nand\003nap\003neg\003nop\003nor\003n"
3872
    "ot\002or\003orc\003ori\004oris\005paste\npaste_last\007popcntb\007popcn"
3873
    "td\007popcntw\007ptesync\010qvaligni\tqvesplati\006qvfabs\006qvfadd\007"
3874
    "qvfadds\006qvfand\007qvfandc\007qvfcfid\010qvfcfids\010qvfcfidu\tqvfcfi"
3875
    "dus\006qvfclr\010qvfcmpeq\010qvfcmpgt\010qvfcmplt\010qvfcpsgn\007qvfctf"
3876
    "b\007qvfctid\010qvfctidu\tqvfctiduz\010qvfctidz\007qvfctiw\010qvfctiwu\t"
3877
    "qvfctiwuz\010qvfctiwz\006qvfequ\nqvflogical\007qvfmadd\010qvfmadds\005q"
3878
    "vfmr\007qvfmsub\010qvfmsubs\006qvfmul\007qvfmuls\007qvfnabs\007qvfnand\006"
3879
    "qvfneg\010qvfnmadd\tqvfnmadds\010qvfnmsub\tqvfnmsubs\006qvfnor\006qvfno"
3880
    "t\005qvfor\006qvforc\007qvfperm\005qvfre\006qvfres\006qvfrim\006qvfrin\006"
3881
    "qvfrip\006qvfriz\006qvfrsp\tqvfrsqrte\nqvfrsqrtes\006qvfsel\006qvfset\006"
3882
    "qvfsub\007qvfsubs\tqvftstnan\010qvfxmadd\tqvfxmadds\007qvfxmul\010qvfxm"
3883
    "uls\006qvfxor\014qvfxxcpnmadd\015qvfxxcpnmadds\tqvfxxmadd\nqvfxxmadds\013"
3884
    "qvfxxnpmadd\014qvfxxnpmadds\006qvgpci\010qvlfcdux\tqvlfcduxa\007qvlfcdx"
3885
    "\010qvlfcdxa\010qvlfcsux\tqvlfcsuxa\007qvlfcsx\010qvlfcsxa\007qvlfdux\010"
3886
    "qvlfduxa\006qvlfdx\007qvlfdxa\010qvlfiwax\tqvlfiwaxa\010qvlfiwzx\tqvlfi"
3887
    "wzxa\007qvlfsux\010qvlfsuxa\006qvlfsx\007qvlfsxa\010qvlpcldx\010qvlpcls"
3888
    "x\010qvlpcrdx\010qvlpcrsx\tqvstfcdux\nqvstfcduxa\nqvstfcduxi\013qvstfcd"
3889
    "uxia\010qvstfcdx\tqvstfcdxa\tqvstfcdxi\nqvstfcdxia\tqvstfcsux\nqvstfcsu"
3890
    "xa\nqvstfcsuxi\013qvstfcsuxia\010qvstfcsx\tqvstfcsxa\tqvstfcsxi\nqvstfc"
3891
    "sxia\010qvstfdux\tqvstfduxa\tqvstfduxi\nqvstfduxia\007qvstfdx\010qvstfd"
3892
    "xa\010qvstfdxi\tqvstfdxia\010qvstfiwx\tqvstfiwxa\010qvstfsux\tqvstfsuxa"
3893
    "\tqvstfsuxi\nqvstfsuxia\007qvstfsx\010qvstfsxa\010qvstfsxi\tqvstfsxia\004"
3894
    "rfci\004rfdi\005rfebb\003rfi\004rfid\005rfmci\005rldcl\005rldcr\005rldi"
3895
    "c\006rldicl\006rldicr\006rldimi\006rlwimi\006rlwinm\005rlwnm\005rotld\006"
3896
    "rotldi\005rotlw\006rotlwi\006rotrdi\006rotrwi\002sc\004setb\005slbia\005"
3897
    "slbie\006slbieg\007slbmfee\007slbmfev\006slbmte\007slbsync\003sld\004sl"
3898
    "di\003slw\004slwi\004srad\005sradi\004sraw\005srawi\003srd\004srdi\003s"
3899
    "rw\004srwi\003stb\006stbcix\005stbcx\004stbu\005stbux\004stbx\003std\005"
3900
    "stdat\006stdbrx\006stdcix\005stdcx\004stdu\005stdux\004stdx\004stfd\005"
3901
    "stfdu\006stfdux\005stfdx\006stfiwx\004stfs\005stfsu\006stfsux\005stfsx\003"
3902
    "sth\006sthbrx\006sthcix\005sthcx\004sthu\005sthux\004sthx\004stmw\004st"
3903
    "op\005stswi\006stvebx\006stvehx\006stvewx\004stvx\005stvxl\003stw\005st"
3904
    "wat\006stwbrx\006stwcix\005stwcx\004stwu\005stwux\004stwx\005stxsd\006s"
3905
    "txsdx\007stxsibx\007stxsihx\007stxsiwx\006stxssp\007stxsspx\004stxv\010"
3906
    "stxvb16x\007stxvd2x\007stxvh8x\005stxvl\006stxvll\007stxvw4x\005stxvx\003"
3907
    "sub\004subc\004subf\005subfc\005subfe\006subfic\006subfme\006subfze\004"
3908
    "subi\005subic\005subis\007subpcis\004sync\006tabort\010tabortdc\ttabort"
3909
    "dci\010tabortwc\ttabortwci\006tbegin\006tcheck\002td\004tdeq\005tdeqi\004"
3910
    "tdge\005tdgei\004tdgt\005tdgti\003tdi\004tdle\005tdlei\005tdlge\006tdlg"
3911
    "ei\005tdlgt\006tdlgti\005tdlle\006tdllei\005tdllt\006tdllti\005tdlng\006"
3912
    "tdlngi\005tdlnl\006tdlnli\004tdlt\005tdlti\004tdne\005tdnei\004tdng\005"
3913
    "tdngi\004tdnl\005tdnli\003tdu\004tdui\004tend\005tlbia\005tlbie\006tlbi"
3914
    "el\007tlbivax\005tlbld\005tlbli\005tlbre\007tlbrehi\007tlbrelo\005tlbsx"
3915
    "\007tlbsync\005tlbwe\007tlbwehi\007tlbwelo\004trap\010trechkpt\010trecl"
3916
    "aim\003tsr\002tw\004tweq\005tweqi\004twge\005twgei\004twgt\005twgti\003"
3917
    "twi\004twle\005twlei\005twlge\006twlgei\005twlgt\006twlgti\005twlle\006"
3918
    "twllei\005twllt\006twllti\005twlng\006twlngi\005twlnl\006twlnli\004twlt"
3919
    "\005twlti\004twne\005twnei\004twng\005twngi\004twnl\005twnli\003twu\004"
3920
    "twui\007vabsdub\007vabsduh\007vabsduw\007vaddcuq\007vaddcuw\010vaddecuq"
3921
    "\010vaddeuqm\006vaddfp\007vaddsbs\007vaddshs\007vaddsws\007vaddubm\007v"
3922
    "addubs\007vaddudm\007vadduhm\007vadduhs\007vadduqm\007vadduwm\007vadduw"
3923
    "s\004vand\005vandc\006vavgsb\006vavgsh\006vavgsw\006vavgub\006vavguh\006"
3924
    "vavguw\007vbpermd\007vbpermq\005vcfsx\005vcfux\007vcipher\013vcipherlas"
3925
    "t\005vclzb\005vclzd\005vclzh\010vclzlsbb\005vclzw\007vcmpbfp\010vcmpeqf"
3926
    "p\010vcmpequb\010vcmpequd\010vcmpequh\010vcmpequw\010vcmpgefp\010vcmpgt"
3927
    "fp\010vcmpgtsb\010vcmpgtsd\010vcmpgtsh\010vcmpgtsw\010vcmpgtub\010vcmpg"
3928
    "tud\010vcmpgtuh\010vcmpgtuw\007vcmpneb\007vcmpneh\007vcmpnew\010vcmpnez"
3929
    "b\010vcmpnezh\010vcmpnezw\006vctsxs\006vctuxs\005vctzb\005vctzd\005vctz"
3930
    "h\010vctzlsbb\005vctzw\004veqv\010vexptefp\tvextractd\nvextractub\nvext"
3931
    "ractuh\nvextractuw\010vextsb2d\010vextsb2w\010vextsh2d\010vextsh2w\010v"
3932
    "extsw2d\010vextublx\010vextubrx\010vextuhlx\010vextuhrx\010vextuwlx\010"
3933
    "vextuwrx\005vgbbd\010vinsertb\010vinsertd\010vinserth\010vinsertw\007vl"
3934
    "ogefp\007vmaddfp\006vmaxfp\006vmaxsb\006vmaxsd\006vmaxsh\006vmaxsw\006v"
3935
    "maxub\006vmaxud\006vmaxuh\006vmaxuw\tvmhaddshs\nvmhraddshs\006vminfp\006"
3936
    "vminsb\006vminsd\006vminsh\006vminsw\006vminub\006vminud\006vminuh\006v"
3937
    "minuw\tvmladduhm\003vmr\006vmrgew\006vmrghb\006vmrghh\006vmrghw\006vmrg"
3938
    "lb\006vmrglh\006vmrglw\006vmrgow\010vmsummbm\010vmsumshm\010vmsumshs\010"
3939
    "vmsumubm\010vmsumuhm\010vmsumuhs\tvmul10cuq\nvmul10ecuq\tvmul10euq\010v"
3940
    "mul10uq\007vmulesb\007vmulesh\007vmulesw\007vmuleub\007vmuleuh\007vmule"
3941
    "uw\007vmulosb\007vmulosh\007vmulosw\007vmuloub\007vmulouh\007vmulouw\007"
3942
    "vmuluwm\005vnand\010vncipher\014vncipherlast\005vnegd\005vnegw\010vnmsu"
3943
    "bfp\004vnor\004vnot\003vor\004vorc\005vperm\006vpermr\010vpermxor\005vp"
3944
    "kpx\007vpksdss\007vpksdus\007vpkshss\007vpkshus\007vpkswss\007vpkswus\007"
3945
    "vpkudum\007vpkudus\007vpkuhum\007vpkuhus\007vpkuwum\007vpkuwus\007vpmsu"
3946
    "mb\007vpmsumd\007vpmsumh\007vpmsumw\010vpopcntb\010vpopcntd\010vpopcnth"
3947
    "\010vpopcntw\007vprtybd\007vprtybq\007vprtybw\005vrefp\005vrfim\005vrfi"
3948
    "n\005vrfip\005vrfiz\004vrlb\004vrld\006vrldmi\006vrldnm\004vrlh\004vrlw"
3949
    "\006vrlwmi\006vrlwnm\tvrsqrtefp\005vsbox\004vsel\nvshasigmad\nvshasigma"
3950
    "w\003vsl\004vslb\004vsld\006vsldoi\004vslh\004vslo\004vslv\004vslw\006v"
3951
    "spltb\006vsplth\010vspltisb\010vspltish\010vspltisw\006vspltw\003vsr\005"
3952
    "vsrab\005vsrad\005vsrah\005vsraw\004vsrb\004vsrd\004vsrh\004vsro\004vsr"
3953
    "v\004vsrw\007vsubcuq\007vsubcuw\010vsubecuq\010vsubeuqm\006vsubfp\007vs"
3954
    "ubsbs\007vsubshs\007vsubsws\007vsububm\007vsububs\007vsubudm\007vsubuhm"
3955
    "\007vsubuhs\007vsubuqm\007vsubuwm\007vsubuws\010vsum2sws\010vsum4sbs\010"
3956
    "vsum4shs\010vsum4ubs\007vsumsws\007vupkhpx\007vupkhsb\007vupkhsh\007vup"
3957
    "khsw\007vupklpx\007vupklsb\007vupklsh\007vupklsw\004vxor\004wait\010wai"
3958
    "timpl\007waitrsv\005wrtee\006wrteei\004xnop\003xor\004xori\005xoris\007"
3959
    "xsabsdp\007xsabsqp\007xsadddp\007xsaddqp\010xsaddqpo\007xsaddsp\txscmpe"
3960
    "qdp\nxscmpexpdp\nxscmpexpqp\txscmpgedp\txscmpgtdp\txscmpnedp\010xscmpod"
3961
    "p\010xscmpoqp\010xscmpudp\010xscmpuqp\txscpsgndp\txscpsgnqp\010xscvdphp"
3962
    "\010xscvdpqp\010xscvdpsp\txscvdpspn\nxscvdpsxds\nxscvdpsxws\nxscvdpuxds"
3963
    "\nxscvdpuxws\010xscvhpdp\010xscvqpdp\txscvqpdpo\txscvqpsdz\txscvqpswz\t"
3964
    "xscvqpudz\txscvqpuwz\010xscvsdqp\010xscvspdp\txscvspdpn\txscvsxddp\txsc"
3965
    "vsxdsp\010xscvudqp\txscvuxddp\txscvuxdsp\007xsdivdp\007xsdivqp\010xsdiv"
3966
    "qpo\007xsdivsp\010xsiexpdp\010xsiexpqp\txsmaddadp\txsmaddasp\txsmaddmdp"
3967
    "\txsmaddmsp\010xsmaddqp\txsmaddqpo\010xsmaxcdp\007xsmaxdp\010xsmaxjdp\010"
3968
    "xsmincdp\007xsmindp\010xsminjdp\txsmsubadp\txsmsubasp\txsmsubmdp\txsmsu"
3969
    "bmsp\010xsmsubqp\txsmsubqpo\007xsmuldp\007xsmulqp\010xsmulqpo\007xsmuls"
3970
    "p\010xsnabsdp\010xsnabsqp\007xsnegdp\007xsnegqp\nxsnmaddadp\nxsnmaddasp"
3971
    "\nxsnmaddmdp\nxsnmaddmsp\txsnmaddqp\nxsnmaddqpo\nxsnmsubadp\nxsnmsubasp"
3972
    "\nxsnmsubmdp\nxsnmsubmsp\txsnmsubqp\nxsnmsubqpo\006xsrdpi\007xsrdpic\007"
3973
    "xsrdpim\007xsrdpip\007xsrdpiz\006xsredp\006xsresp\006xsrqpi\007xsrqpix\007"
3974
    "xsrqpxp\nxsrsqrtedp\nxsrsqrtesp\010xssqrtdp\010xssqrtqp\txssqrtqpo\010x"
3975
    "ssqrtsp\007xssubdp\007xssubqp\010xssubqpo\007xssubsp\010xstdivdp\txstsq"
3976
    "rtdp\txststdcdp\txststdcqp\txststdcsp\010xsxexpdp\010xsxexpqp\010xsxsig"
3977
    "dp\010xsxsigqp\007xvabsdp\007xvabssp\007xvadddp\007xvaddsp\txvcmpeqdp\t"
3978
    "xvcmpeqsp\txvcmpgedp\txvcmpgesp\txvcmpgtdp\txvcmpgtsp\txvcmpnedp\txvcmp"
3979
    "nesp\txvcpsgndp\txvcpsgnsp\010xvcvdpsp\nxvcvdpsxds\nxvcvdpsxws\nxvcvdpu"
3980
    "xds\nxvcvdpuxws\010xvcvhpsp\010xvcvspdp\010xvcvsphp\nxvcvspsxds\nxvcvsp"
3981
    "sxws\nxvcvspuxds\nxvcvspuxws\txvcvsxddp\txvcvsxdsp\txvcvsxwdp\txvcvsxws"
3982
    "p\txvcvuxddp\txvcvuxdsp\txvcvuxwdp\txvcvuxwsp\007xvdivdp\007xvdivsp\010"
3983
    "xviexpdp\010xviexpsp\txvmaddadp\txvmaddasp\txvmaddmdp\txvmaddmsp\007xvm"
3984
    "axdp\007xvmaxsp\007xvmindp\007xvminsp\007xvmovdp\007xvmovsp\txvmsubadp\t"
3985
    "xvmsubasp\txvmsubmdp\txvmsubmsp\007xvmuldp\007xvmulsp\010xvnabsdp\010xv"
3986
    "nabssp\007xvnegdp\007xvnegsp\nxvnmaddadp\nxvnmaddasp\nxvnmaddmdp\nxvnma"
3987
    "ddmsp\nxvnmsubadp\nxvnmsubasp\nxvnmsubmdp\nxvnmsubmsp\006xvrdpi\007xvrd"
3988
    "pic\007xvrdpim\007xvrdpip\007xvrdpiz\006xvredp\006xvresp\006xvrspi\007x"
3989
    "vrspic\007xvrspim\007xvrspip\007xvrspiz\nxvrsqrtedp\nxvrsqrtesp\010xvsq"
3990
    "rtdp\010xvsqrtsp\007xvsubdp\007xvsubsp\010xvtdivdp\010xvtdivsp\txvtsqrt"
3991
    "dp\txvtsqrtsp\txvtstdcdp\txvtstdcsp\010xvxexpdp\010xvxexpsp\010xvxsigdp"
3992
    "\010xvxsigsp\005xxbrd\005xxbrh\005xxbrq\005xxbrw\013xxextractuw\txxinse"
3993
    "rtw\006xxland\007xxlandc\006xxleqv\007xxlnand\006xxlnor\005xxlor\006xxl"
3994
    "orc\006xxlxor\007xxmrghd\007xxmrghw\007xxmrgld\007xxmrglw\006xxperm\010"
3995
    "xxpermdi\007xxpermr\005xxsel\007xxsldwi\007xxspltd\010xxspltib\007xxspl"
3996
    "tw\007xxswapd";
3997
3998
namespace {
3999
  struct MatchEntry {
4000
    uint16_t Mnemonic;
4001
    uint16_t Opcode;
4002
    uint16_t ConvertFn;
4003
    uint8_t RequiredFeatures;
4004
    uint8_t Classes[6];
4005
107k
    StringRef getMnemonic() const {
4006
107k
      return StringRef(MnemonicTable + Mnemonic + 1,
4007
107k
                       MnemonicTable[Mnemonic]);
4008
107k
    }
4009
  };
4010
4011
  // Predicate for searching for an opcode.
4012
  struct LessOpcode {
4013
65.3k
    bool operator()(const MatchEntry &LHS, StringRef RHS) {
4014
65.3k
      return LHS.getMnemonic() < RHS;
4015
65.3k
    }
4016
41.7k
    bool operator()(StringRef LHS, const MatchEntry &RHS) {
4017
41.7k
      return LHS < RHS.getMnemonic();
4018
41.7k
    }
4019
0
    bool operator()(const MatchEntry &LHS, const MatchEntry &RHS) {
4020
0
      return LHS.getMnemonic() < RHS.getMnemonic();
4021
0
    }
4022
  };
4023
} // end anonymous namespace.
4024
4025
static const MatchEntry MatchTable0[] = {
4026
  { 0 /* add */, PPC::ADD8TLS_, Convert__RegG8RC1_0__RegG8RC1_1__TLSReg1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_TLSReg }, },
4027
  { 0 /* add */, PPC::ADD4, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4028
  { 0 /* add */, PPC::ADD4o, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4029
  { 4 /* addc */, PPC::ADDC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4030
  { 4 /* addc */, PPC::ADDCo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4031
  { 9 /* adde */, PPC::ADDE, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4032
  { 9 /* adde */, PPC::ADDEo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4033
  { 14 /* addi */, PPC::ADDI, Convert__RegGPRC1_0__RegGPRCNoR01_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRCNoR0, MCK_S16Imm }, },
4034
  { 19 /* addic */, PPC::ADDIC, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, },
4035
  { 19 /* addic */, PPC::ADDICo, Convert__RegGPRC1_1__RegGPRC1_2__S16Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, },
4036
  { 25 /* addis */, PPC::ADDIS, Convert__RegGPRC1_0__RegGPRCNoR01_1__S17Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRCNoR0, MCK_S17Imm }, },
4037
  { 31 /* addme */, PPC::ADDME, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4038
  { 31 /* addme */, PPC::ADDMEo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
4039
  { 37 /* addpcis */, PPC::ADDPCIS, Convert__RegG8RC1_0__Imm1_1, 0, { MCK_RegG8RC, MCK_Imm }, },
4040
  { 45 /* addze */, PPC::ADDZE, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4041
  { 45 /* addze */, PPC::ADDZEo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
4042
  { 51 /* and */, PPC::AND, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4043
  { 51 /* and */, PPC::ANDo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4044
  { 55 /* andc */, PPC::ANDC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4045
  { 55 /* andc */, PPC::ANDCo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4046
  { 60 /* andi */, PPC::ANDIo, Convert__RegGPRC1_1__RegGPRC1_2__U16Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, },
4047
  { 65 /* andis */, PPC::ANDISo, Convert__RegGPRC1_1__RegGPRC1_2__U16Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, },
4048
  { 71 /* attn */, PPC::ATTN, Convert_NoOperands, 0, {  }, },
4049
  { 76 /* b */, PPC::B, Convert__DirectBr1_0, 0, { MCK_DirectBr }, },
4050
  { 78 /* ba */, PPC::BA, Convert__DirectBr1_0, 0, { MCK_DirectBr }, },
4051
  { 81 /* bc */, PPC::gBC, Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4052
  { 81 /* bc */, PPC::gBCat, Convert__U5Imm1_1__ATBitsAsHint1_0__RegCRBITRC1_2__CondBr1_3, 0, { MCK_ATBitsAsHint, MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4053
  { 84 /* bc+ */, PPC::gBCat, Convert__U5Imm1_0__imm_95_3__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4054
  { 88 /* bc- */, PPC::gBCat, Convert__U5Imm1_0__imm_95_2__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4055
  { 92 /* bca */, PPC::gBCA, Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4056
  { 92 /* bca */, PPC::gBCAat, Convert__U5Imm1_1__ATBitsAsHint1_0__RegCRBITRC1_2__CondBr1_3, 0, { MCK_ATBitsAsHint, MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4057
  { 96 /* bca+ */, PPC::gBCAat, Convert__U5Imm1_0__imm_95_3__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4058
  { 101 /* bca- */, PPC::gBCAat, Convert__U5Imm1_0__imm_95_2__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4059
  { 106 /* bcctr */, PPC::gBCCTR, Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0, 0, { MCK_U5Imm, MCK_RegCRBITRC }, },
4060
  { 106 /* bcctr */, PPC::gBCCTR, Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_Imm }, },
4061
  { 112 /* bcctrl */, PPC::gBCCTRL, Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0, 0, { MCK_U5Imm, MCK_RegCRBITRC }, },
4062
  { 112 /* bcctrl */, PPC::gBCCTRL, Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_Imm }, },
4063
  { 119 /* bcdcfn */, PPC::BCDCFNo, Convert__RegVRRC1_1__RegVRRC1_2__U1Imm1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm }, },
4064
  { 126 /* bcdcfsq */, PPC::BCDCFSQo, Convert__RegVRRC1_1__RegVRRC1_2__U1Imm1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm }, },
4065
  { 134 /* bcdcfz */, PPC::BCDCFZo, Convert__RegVRRC1_1__RegVRRC1_2__U1Imm1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm }, },
4066
  { 141 /* bcdcpsgn */, PPC::BCDCPSGNo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
4067
  { 150 /* bcdctn */, PPC::BCDCTNo, Convert__RegVRRC1_1__RegVRRC1_2, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC }, },
4068
  { 157 /* bcdctsq */, PPC::BCDCTSQo, Convert__RegVRRC1_1__RegVRRC1_2, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC }, },
4069
  { 165 /* bcdctz */, PPC::BCDCTZo, Convert__RegVRRC1_1__RegVRRC1_2__U1Imm1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm }, },
4070
  { 172 /* bcds */, PPC::BCDSo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3__U1Imm1_4, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm }, },
4071
  { 177 /* bcdsetsgn */, PPC::BCDSETSGNo, Convert__RegVRRC1_1__RegVRRC1_2__U1Imm1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm }, },
4072
  { 187 /* bcdsr */, PPC::BCDSRo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3__U1Imm1_4, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm }, },
4073
  { 193 /* bcdtrunc */, PPC::BCDTRUNCo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3__U1Imm1_4, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm }, },
4074
  { 202 /* bcdus */, PPC::BCDUSo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
4075
  { 208 /* bcdutrunc */, PPC::BCDUTRUNCo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
4076
  { 218 /* bcl */, PPC::gBCL, Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4077
  { 218 /* bcl */, PPC::gBCLat, Convert__U5Imm1_1__ATBitsAsHint1_0__RegCRBITRC1_2__CondBr1_3, 0, { MCK_ATBitsAsHint, MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4078
  { 222 /* bcl+ */, PPC::gBCLat, Convert__U5Imm1_0__imm_95_3__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4079
  { 227 /* bcl- */, PPC::gBCLat, Convert__U5Imm1_0__imm_95_2__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4080
  { 232 /* bcla */, PPC::gBCLA, Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4081
  { 232 /* bcla */, PPC::gBCLAat, Convert__U5Imm1_1__ATBitsAsHint1_0__RegCRBITRC1_2__CondBr1_3, 0, { MCK_ATBitsAsHint, MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4082
  { 237 /* bcla+ */, PPC::gBCLAat, Convert__U5Imm1_0__imm_95_3__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4083
  { 243 /* bcla- */, PPC::gBCLAat, Convert__U5Imm1_0__imm_95_2__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, },
4084
  { 249 /* bclr */, PPC::gBCLR, Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0, 0, { MCK_U5Imm, MCK_RegCRBITRC }, },
4085
  { 249 /* bclr */, PPC::gBCLR, Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_Imm }, },
4086
  { 254 /* bclrl */, PPC::gBCLRL, Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0, 0, { MCK_U5Imm, MCK_RegCRBITRC }, },
4087
  { 254 /* bclrl */, PPC::gBCLRL, Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_Imm }, },
4088
  { 260 /* bctr */, PPC::BCTR, Convert_NoOperands, 0, {  }, },
4089
  { 265 /* bctrl */, PPC::BCTRL, Convert_NoOperands, 0, {  }, },
4090
  { 271 /* bdnz */, PPC::BDNZ, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4091
  { 276 /* bdnz+ */, PPC::BDNZp, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4092
  { 282 /* bdnz- */, PPC::BDNZm, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4093
  { 288 /* bdnza */, PPC::BDNZA, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4094
  { 294 /* bdnza+ */, PPC::BDNZAp, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4095
  { 301 /* bdnza- */, PPC::BDNZAm, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4096
  { 308 /* bdnzf */, PPC::gBC, Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4097
  { 314 /* bdnzfa */, PPC::gBCA, Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4098
  { 321 /* bdnzfl */, PPC::gBCL, Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4099
  { 328 /* bdnzfla */, PPC::gBCLA, Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4100
  { 336 /* bdnzflr */, PPC::gBCLR, Convert__imm_95_0__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4101
  { 344 /* bdnzflrl */, PPC::gBCLRL, Convert__imm_95_0__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4102
  { 353 /* bdnzl */, PPC::BDNZL, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4103
  { 359 /* bdnzl+ */, PPC::BDNZLp, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4104
  { 366 /* bdnzl- */, PPC::BDNZLm, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4105
  { 373 /* bdnzla */, PPC::BDNZLA, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4106
  { 380 /* bdnzla+ */, PPC::BDNZLAp, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4107
  { 388 /* bdnzla- */, PPC::BDNZLAm, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4108
  { 396 /* bdnzlr */, PPC::BDNZLR, Convert_NoOperands, 0, {  }, },
4109
  { 403 /* bdnzlr+ */, PPC::BDNZLRp, Convert_NoOperands, 0, {  }, },
4110
  { 411 /* bdnzlr- */, PPC::BDNZLRm, Convert_NoOperands, 0, {  }, },
4111
  { 419 /* bdnzlrl */, PPC::BDNZLRL, Convert_NoOperands, 0, {  }, },
4112
  { 427 /* bdnzlrl+ */, PPC::BDNZLRLp, Convert_NoOperands, 0, {  }, },
4113
  { 436 /* bdnzlrl- */, PPC::BDNZLRLm, Convert_NoOperands, 0, {  }, },
4114
  { 445 /* bdnzt */, PPC::gBC, Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4115
  { 451 /* bdnzta */, PPC::gBCA, Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4116
  { 458 /* bdnztl */, PPC::gBCL, Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4117
  { 465 /* bdnztla */, PPC::gBCLA, Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4118
  { 473 /* bdnztlr */, PPC::gBCLR, Convert__imm_95_8__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4119
  { 481 /* bdnztlrl */, PPC::gBCLRL, Convert__imm_95_8__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4120
  { 490 /* bdz */, PPC::BDZ, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4121
  { 494 /* bdz+ */, PPC::BDZp, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4122
  { 499 /* bdz- */, PPC::BDZm, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4123
  { 504 /* bdza */, PPC::BDZA, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4124
  { 509 /* bdza+ */, PPC::BDZAp, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4125
  { 515 /* bdza- */, PPC::BDZAm, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4126
  { 521 /* bdzf */, PPC::gBC, Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4127
  { 526 /* bdzfa */, PPC::gBCA, Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4128
  { 532 /* bdzfl */, PPC::gBCL, Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4129
  { 538 /* bdzfla */, PPC::gBCLA, Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4130
  { 545 /* bdzflr */, PPC::gBCLR, Convert__imm_95_2__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4131
  { 552 /* bdzflrl */, PPC::gBCLRL, Convert__imm_95_2__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4132
  { 560 /* bdzl */, PPC::BDZL, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4133
  { 565 /* bdzl+ */, PPC::BDZLp, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4134
  { 571 /* bdzl- */, PPC::BDZLm, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4135
  { 577 /* bdzla */, PPC::BDZLA, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4136
  { 583 /* bdzla+ */, PPC::BDZLAp, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4137
  { 590 /* bdzla- */, PPC::BDZLAm, Convert__CondBr1_0, 0, { MCK_CondBr }, },
4138
  { 597 /* bdzlr */, PPC::BDZLR, Convert_NoOperands, 0, {  }, },
4139
  { 603 /* bdzlr+ */, PPC::BDZLRp, Convert_NoOperands, 0, {  }, },
4140
  { 610 /* bdzlr- */, PPC::BDZLRm, Convert_NoOperands, 0, {  }, },
4141
  { 617 /* bdzlrl */, PPC::BDZLRL, Convert_NoOperands, 0, {  }, },
4142
  { 624 /* bdzlrl+ */, PPC::BDZLRLp, Convert_NoOperands, 0, {  }, },
4143
  { 632 /* bdzlrl- */, PPC::BDZLRLm, Convert_NoOperands, 0, {  }, },
4144
  { 640 /* bdzt */, PPC::gBC, Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4145
  { 645 /* bdzta */, PPC::gBCA, Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4146
  { 651 /* bdztl */, PPC::gBCL, Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4147
  { 657 /* bdztla */, PPC::gBCLA, Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4148
  { 664 /* bdztlr */, PPC::gBCLR, Convert__imm_95_10__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4149
  { 671 /* bdztlrl */, PPC::gBCLRL, Convert__imm_95_10__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4150
  { 679 /* beq */, PPC::BCC, Convert__imm_95_76__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4151
  { 679 /* beq */, PPC::BCC, Convert__imm_95_76__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4152
  { 683 /* beq+ */, PPC::BCC, Convert__imm_95_79__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4153
  { 683 /* beq+ */, PPC::BCC, Convert__imm_95_79__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4154
  { 688 /* beq- */, PPC::BCC, Convert__imm_95_78__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4155
  { 688 /* beq- */, PPC::BCC, Convert__imm_95_78__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4156
  { 693 /* beqa */, PPC::BCCA, Convert__imm_95_76__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4157
  { 693 /* beqa */, PPC::BCCA, Convert__imm_95_76__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4158
  { 698 /* beqa+ */, PPC::BCCA, Convert__imm_95_79__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4159
  { 698 /* beqa+ */, PPC::BCCA, Convert__imm_95_79__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4160
  { 704 /* beqa- */, PPC::BCCA, Convert__imm_95_78__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4161
  { 704 /* beqa- */, PPC::BCCA, Convert__imm_95_78__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4162
  { 710 /* beqctr */, PPC::BCCCTR, Convert__imm_95_76__regCR0, 0, {  }, },
4163
  { 710 /* beqctr */, PPC::BCCCTR, Convert__imm_95_76__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4164
  { 717 /* beqctr+ */, PPC::BCCCTR, Convert__imm_95_79__regCR0, 0, {  }, },
4165
  { 717 /* beqctr+ */, PPC::BCCCTR, Convert__imm_95_79__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4166
  { 725 /* beqctr- */, PPC::BCCCTR, Convert__imm_95_78__regCR0, 0, {  }, },
4167
  { 725 /* beqctr- */, PPC::BCCCTR, Convert__imm_95_78__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4168
  { 733 /* beqctrl */, PPC::BCCCTRL, Convert__imm_95_76__regCR0, 0, {  }, },
4169
  { 733 /* beqctrl */, PPC::BCCCTRL, Convert__imm_95_76__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4170
  { 741 /* beqctrl+ */, PPC::BCCCTRL, Convert__imm_95_79__regCR0, 0, {  }, },
4171
  { 741 /* beqctrl+ */, PPC::BCCCTRL, Convert__imm_95_79__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4172
  { 750 /* beqctrl- */, PPC::BCCCTRL, Convert__imm_95_78__regCR0, 0, {  }, },
4173
  { 750 /* beqctrl- */, PPC::BCCCTRL, Convert__imm_95_78__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4174
  { 759 /* beql */, PPC::BCCL, Convert__imm_95_76__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4175
  { 759 /* beql */, PPC::BCCL, Convert__imm_95_76__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4176
  { 764 /* beql+ */, PPC::BCCL, Convert__imm_95_79__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4177
  { 764 /* beql+ */, PPC::BCCL, Convert__imm_95_79__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4178
  { 770 /* beql- */, PPC::BCCL, Convert__imm_95_78__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4179
  { 770 /* beql- */, PPC::BCCL, Convert__imm_95_78__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4180
  { 776 /* beqla */, PPC::BCCLA, Convert__imm_95_76__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4181
  { 776 /* beqla */, PPC::BCCLA, Convert__imm_95_76__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4182
  { 782 /* beqla+ */, PPC::BCCLA, Convert__imm_95_79__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4183
  { 782 /* beqla+ */, PPC::BCCLA, Convert__imm_95_79__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4184
  { 789 /* beqla- */, PPC::BCCLA, Convert__imm_95_78__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4185
  { 789 /* beqla- */, PPC::BCCLA, Convert__imm_95_78__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4186
  { 796 /* beqlr */, PPC::BCCLR, Convert__imm_95_76__regCR0, 0, {  }, },
4187
  { 796 /* beqlr */, PPC::BCCLR, Convert__imm_95_76__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4188
  { 802 /* beqlr+ */, PPC::BCCLR, Convert__imm_95_79__regCR0, 0, {  }, },
4189
  { 802 /* beqlr+ */, PPC::BCCLR, Convert__imm_95_79__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4190
  { 809 /* beqlr- */, PPC::BCCLR, Convert__imm_95_78__regCR0, 0, {  }, },
4191
  { 809 /* beqlr- */, PPC::BCCLR, Convert__imm_95_78__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4192
  { 816 /* beqlrl */, PPC::BCCLRL, Convert__imm_95_76__regCR0, 0, {  }, },
4193
  { 816 /* beqlrl */, PPC::BCCLRL, Convert__imm_95_76__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4194
  { 823 /* beqlrl+ */, PPC::BCCLRL, Convert__imm_95_79__regCR0, 0, {  }, },
4195
  { 823 /* beqlrl+ */, PPC::BCCLRL, Convert__imm_95_79__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4196
  { 831 /* beqlrl- */, PPC::BCCLRL, Convert__imm_95_78__regCR0, 0, {  }, },
4197
  { 831 /* beqlrl- */, PPC::BCCLRL, Convert__imm_95_78__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4198
  { 839 /* bf */, PPC::gBC, Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4199
  { 842 /* bf+ */, PPC::gBC, Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4200
  { 846 /* bf- */, PPC::gBC, Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4201
  { 850 /* bfa */, PPC::gBCA, Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4202
  { 854 /* bfa+ */, PPC::gBCA, Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4203
  { 859 /* bfa- */, PPC::gBCA, Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4204
  { 864 /* bfctr */, PPC::gBCCTR, Convert__imm_95_4__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4205
  { 870 /* bfctr+ */, PPC::gBCCTR, Convert__imm_95_7__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4206
  { 877 /* bfctr- */, PPC::gBCCTR, Convert__imm_95_6__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4207
  { 884 /* bfctrl */, PPC::gBCCTRL, Convert__imm_95_4__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4208
  { 891 /* bfctrl+ */, PPC::gBCCTRL, Convert__imm_95_7__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4209
  { 899 /* bfctrl- */, PPC::gBCCTRL, Convert__imm_95_6__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4210
  { 907 /* bfl */, PPC::gBCL, Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4211
  { 911 /* bfl+ */, PPC::gBCL, Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4212
  { 916 /* bfl- */, PPC::gBCL, Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4213
  { 921 /* bfla */, PPC::gBCLA, Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4214
  { 926 /* bfla+ */, PPC::gBCLA, Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4215
  { 932 /* bfla- */, PPC::gBCLA, Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4216
  { 938 /* bflr */, PPC::gBCLR, Convert__imm_95_4__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4217
  { 943 /* bflr+ */, PPC::gBCLR, Convert__imm_95_7__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4218
  { 949 /* bflr- */, PPC::gBCLR, Convert__imm_95_6__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4219
  { 955 /* bflrl */, PPC::gBCLRL, Convert__imm_95_4__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4220
  { 961 /* bflrl+ */, PPC::gBCLRL, Convert__imm_95_7__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4221
  { 968 /* bflrl- */, PPC::gBCLRL, Convert__imm_95_6__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4222
  { 975 /* bge */, PPC::BCC, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4223
  { 975 /* bge */, PPC::BCC, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4224
  { 979 /* bge+ */, PPC::BCC, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4225
  { 979 /* bge+ */, PPC::BCC, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4226
  { 984 /* bge- */, PPC::BCC, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4227
  { 984 /* bge- */, PPC::BCC, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4228
  { 989 /* bgea */, PPC::BCCA, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4229
  { 989 /* bgea */, PPC::BCCA, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4230
  { 994 /* bgea+ */, PPC::BCCA, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4231
  { 994 /* bgea+ */, PPC::BCCA, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4232
  { 1000 /* bgea- */, PPC::BCCA, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4233
  { 1000 /* bgea- */, PPC::BCCA, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4234
  { 1006 /* bgectr */, PPC::BCCCTR, Convert__imm_95_4__regCR0, 0, {  }, },
4235
  { 1006 /* bgectr */, PPC::BCCCTR, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4236
  { 1013 /* bgectr+ */, PPC::BCCCTR, Convert__imm_95_7__regCR0, 0, {  }, },
4237
  { 1013 /* bgectr+ */, PPC::BCCCTR, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4238
  { 1021 /* bgectr- */, PPC::BCCCTR, Convert__imm_95_6__regCR0, 0, {  }, },
4239
  { 1021 /* bgectr- */, PPC::BCCCTR, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4240
  { 1029 /* bgectrl */, PPC::BCCCTRL, Convert__imm_95_4__regCR0, 0, {  }, },
4241
  { 1029 /* bgectrl */, PPC::BCCCTRL, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4242
  { 1037 /* bgectrl+ */, PPC::BCCCTRL, Convert__imm_95_7__regCR0, 0, {  }, },
4243
  { 1037 /* bgectrl+ */, PPC::BCCCTRL, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4244
  { 1046 /* bgectrl- */, PPC::BCCCTRL, Convert__imm_95_6__regCR0, 0, {  }, },
4245
  { 1046 /* bgectrl- */, PPC::BCCCTRL, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4246
  { 1055 /* bgel */, PPC::BCCL, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4247
  { 1055 /* bgel */, PPC::BCCL, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4248
  { 1060 /* bgel+ */, PPC::BCCL, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4249
  { 1060 /* bgel+ */, PPC::BCCL, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4250
  { 1066 /* bgel- */, PPC::BCCL, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4251
  { 1066 /* bgel- */, PPC::BCCL, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4252
  { 1072 /* bgela */, PPC::BCCLA, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4253
  { 1072 /* bgela */, PPC::BCCLA, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4254
  { 1078 /* bgela+ */, PPC::BCCLA, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4255
  { 1078 /* bgela+ */, PPC::BCCLA, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4256
  { 1085 /* bgela- */, PPC::BCCLA, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4257
  { 1085 /* bgela- */, PPC::BCCLA, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4258
  { 1092 /* bgelr */, PPC::BCCLR, Convert__imm_95_4__regCR0, 0, {  }, },
4259
  { 1092 /* bgelr */, PPC::BCCLR, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4260
  { 1098 /* bgelr+ */, PPC::BCCLR, Convert__imm_95_7__regCR0, 0, {  }, },
4261
  { 1098 /* bgelr+ */, PPC::BCCLR, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4262
  { 1105 /* bgelr- */, PPC::BCCLR, Convert__imm_95_6__regCR0, 0, {  }, },
4263
  { 1105 /* bgelr- */, PPC::BCCLR, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4264
  { 1112 /* bgelrl */, PPC::BCCLRL, Convert__imm_95_4__regCR0, 0, {  }, },
4265
  { 1112 /* bgelrl */, PPC::BCCLRL, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4266
  { 1119 /* bgelrl+ */, PPC::BCCLRL, Convert__imm_95_7__regCR0, 0, {  }, },
4267
  { 1119 /* bgelrl+ */, PPC::BCCLRL, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4268
  { 1127 /* bgelrl- */, PPC::BCCLRL, Convert__imm_95_6__regCR0, 0, {  }, },
4269
  { 1127 /* bgelrl- */, PPC::BCCLRL, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4270
  { 1135 /* bgt */, PPC::BCC, Convert__imm_95_44__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4271
  { 1135 /* bgt */, PPC::BCC, Convert__imm_95_44__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4272
  { 1139 /* bgt+ */, PPC::BCC, Convert__imm_95_47__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4273
  { 1139 /* bgt+ */, PPC::BCC, Convert__imm_95_47__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4274
  { 1144 /* bgt- */, PPC::BCC, Convert__imm_95_46__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4275
  { 1144 /* bgt- */, PPC::BCC, Convert__imm_95_46__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4276
  { 1149 /* bgta */, PPC::BCCA, Convert__imm_95_44__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4277
  { 1149 /* bgta */, PPC::BCCA, Convert__imm_95_44__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4278
  { 1154 /* bgta+ */, PPC::BCCA, Convert__imm_95_47__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4279
  { 1154 /* bgta+ */, PPC::BCCA, Convert__imm_95_47__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4280
  { 1160 /* bgta- */, PPC::BCCA, Convert__imm_95_46__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4281
  { 1160 /* bgta- */, PPC::BCCA, Convert__imm_95_46__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4282
  { 1166 /* bgtctr */, PPC::BCCCTR, Convert__imm_95_44__regCR0, 0, {  }, },
4283
  { 1166 /* bgtctr */, PPC::BCCCTR, Convert__imm_95_44__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4284
  { 1173 /* bgtctr+ */, PPC::BCCCTR, Convert__imm_95_47__regCR0, 0, {  }, },
4285
  { 1173 /* bgtctr+ */, PPC::BCCCTR, Convert__imm_95_47__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4286
  { 1181 /* bgtctr- */, PPC::BCCCTR, Convert__imm_95_46__regCR0, 0, {  }, },
4287
  { 1181 /* bgtctr- */, PPC::BCCCTR, Convert__imm_95_46__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4288
  { 1189 /* bgtctrl */, PPC::BCCCTRL, Convert__imm_95_44__regCR0, 0, {  }, },
4289
  { 1189 /* bgtctrl */, PPC::BCCCTRL, Convert__imm_95_44__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4290
  { 1197 /* bgtctrl+ */, PPC::BCCCTRL, Convert__imm_95_47__regCR0, 0, {  }, },
4291
  { 1197 /* bgtctrl+ */, PPC::BCCCTRL, Convert__imm_95_47__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4292
  { 1206 /* bgtctrl- */, PPC::BCCCTRL, Convert__imm_95_46__regCR0, 0, {  }, },
4293
  { 1206 /* bgtctrl- */, PPC::BCCCTRL, Convert__imm_95_46__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4294
  { 1215 /* bgtl */, PPC::BCCL, Convert__imm_95_44__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4295
  { 1215 /* bgtl */, PPC::BCCL, Convert__imm_95_44__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4296
  { 1220 /* bgtl+ */, PPC::BCCL, Convert__imm_95_47__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4297
  { 1220 /* bgtl+ */, PPC::BCCL, Convert__imm_95_47__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4298
  { 1226 /* bgtl- */, PPC::BCCL, Convert__imm_95_46__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4299
  { 1226 /* bgtl- */, PPC::BCCL, Convert__imm_95_46__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4300
  { 1232 /* bgtla */, PPC::BCCLA, Convert__imm_95_44__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4301
  { 1232 /* bgtla */, PPC::BCCLA, Convert__imm_95_44__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4302
  { 1238 /* bgtla+ */, PPC::BCCLA, Convert__imm_95_47__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4303
  { 1238 /* bgtla+ */, PPC::BCCLA, Convert__imm_95_47__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4304
  { 1245 /* bgtla- */, PPC::BCCLA, Convert__imm_95_46__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4305
  { 1245 /* bgtla- */, PPC::BCCLA, Convert__imm_95_46__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4306
  { 1252 /* bgtlr */, PPC::BCCLR, Convert__imm_95_44__regCR0, 0, {  }, },
4307
  { 1252 /* bgtlr */, PPC::BCCLR, Convert__imm_95_44__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4308
  { 1258 /* bgtlr+ */, PPC::BCCLR, Convert__imm_95_47__regCR0, 0, {  }, },
4309
  { 1258 /* bgtlr+ */, PPC::BCCLR, Convert__imm_95_47__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4310
  { 1265 /* bgtlr- */, PPC::BCCLR, Convert__imm_95_46__regCR0, 0, {  }, },
4311
  { 1265 /* bgtlr- */, PPC::BCCLR, Convert__imm_95_46__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4312
  { 1272 /* bgtlrl */, PPC::BCCLRL, Convert__imm_95_44__regCR0, 0, {  }, },
4313
  { 1272 /* bgtlrl */, PPC::BCCLRL, Convert__imm_95_44__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4314
  { 1279 /* bgtlrl+ */, PPC::BCCLRL, Convert__imm_95_47__regCR0, 0, {  }, },
4315
  { 1279 /* bgtlrl+ */, PPC::BCCLRL, Convert__imm_95_47__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4316
  { 1287 /* bgtlrl- */, PPC::BCCLRL, Convert__imm_95_46__regCR0, 0, {  }, },
4317
  { 1287 /* bgtlrl- */, PPC::BCCLRL, Convert__imm_95_46__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4318
  { 1295 /* bl */, PPC::BL, Convert__DirectBr1_0, 0, { MCK_DirectBr }, },
4319
  { 1295 /* bl */, PPC::BL8_TLS_, Convert__DirectBr1_0__Imm1_1, 0, { MCK_DirectBr, MCK_Imm }, },
4320
  { 1298 /* bla */, PPC::BLA, Convert__DirectBr1_0, 0, { MCK_DirectBr }, },
4321
  { 1302 /* ble */, PPC::BCC, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4322
  { 1302 /* ble */, PPC::BCC, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4323
  { 1306 /* ble+ */, PPC::BCC, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4324
  { 1306 /* ble+ */, PPC::BCC, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4325
  { 1311 /* ble- */, PPC::BCC, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4326
  { 1311 /* ble- */, PPC::BCC, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4327
  { 1316 /* blea */, PPC::BCCA, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4328
  { 1316 /* blea */, PPC::BCCA, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4329
  { 1321 /* blea+ */, PPC::BCCA, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4330
  { 1321 /* blea+ */, PPC::BCCA, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4331
  { 1327 /* blea- */, PPC::BCCA, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4332
  { 1327 /* blea- */, PPC::BCCA, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4333
  { 1333 /* blectr */, PPC::BCCCTR, Convert__imm_95_36__regCR0, 0, {  }, },
4334
  { 1333 /* blectr */, PPC::BCCCTR, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4335
  { 1340 /* blectr+ */, PPC::BCCCTR, Convert__imm_95_39__regCR0, 0, {  }, },
4336
  { 1340 /* blectr+ */, PPC::BCCCTR, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4337
  { 1348 /* blectr- */, PPC::BCCCTR, Convert__imm_95_38__regCR0, 0, {  }, },
4338
  { 1348 /* blectr- */, PPC::BCCCTR, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4339
  { 1356 /* blectrl */, PPC::BCCCTRL, Convert__imm_95_36__regCR0, 0, {  }, },
4340
  { 1356 /* blectrl */, PPC::BCCCTRL, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4341
  { 1364 /* blectrl+ */, PPC::BCCCTRL, Convert__imm_95_39__regCR0, 0, {  }, },
4342
  { 1364 /* blectrl+ */, PPC::BCCCTRL, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4343
  { 1373 /* blectrl- */, PPC::BCCCTRL, Convert__imm_95_38__regCR0, 0, {  }, },
4344
  { 1373 /* blectrl- */, PPC::BCCCTRL, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4345
  { 1382 /* blel */, PPC::BCCL, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4346
  { 1382 /* blel */, PPC::BCCL, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4347
  { 1387 /* blel+ */, PPC::BCCL, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4348
  { 1387 /* blel+ */, PPC::BCCL, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4349
  { 1393 /* blel- */, PPC::BCCL, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4350
  { 1393 /* blel- */, PPC::BCCL, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4351
  { 1399 /* blela */, PPC::BCCLA, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4352
  { 1399 /* blela */, PPC::BCCLA, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4353
  { 1405 /* blela+ */, PPC::BCCLA, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4354
  { 1405 /* blela+ */, PPC::BCCLA, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4355
  { 1412 /* blela- */, PPC::BCCLA, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4356
  { 1412 /* blela- */, PPC::BCCLA, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4357
  { 1419 /* blelr */, PPC::BCCLR, Convert__imm_95_36__regCR0, 0, {  }, },
4358
  { 1419 /* blelr */, PPC::BCCLR, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4359
  { 1425 /* blelr+ */, PPC::BCCLR, Convert__imm_95_39__regCR0, 0, {  }, },
4360
  { 1425 /* blelr+ */, PPC::BCCLR, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4361
  { 1432 /* blelr- */, PPC::BCCLR, Convert__imm_95_38__regCR0, 0, {  }, },
4362
  { 1432 /* blelr- */, PPC::BCCLR, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4363
  { 1439 /* blelrl */, PPC::BCCLRL, Convert__imm_95_36__regCR0, 0, {  }, },
4364
  { 1439 /* blelrl */, PPC::BCCLRL, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4365
  { 1446 /* blelrl+ */, PPC::BCCLRL, Convert__imm_95_39__regCR0, 0, {  }, },
4366
  { 1446 /* blelrl+ */, PPC::BCCLRL, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4367
  { 1454 /* blelrl- */, PPC::BCCLRL, Convert__imm_95_38__regCR0, 0, {  }, },
4368
  { 1454 /* blelrl- */, PPC::BCCLRL, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4369
  { 1462 /* blr */, PPC::BLR, Convert_NoOperands, 0, {  }, },
4370
  { 1466 /* blrl */, PPC::BLRL, Convert_NoOperands, 0, {  }, },
4371
  { 1471 /* blt */, PPC::BCC, Convert__imm_95_12__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4372
  { 1471 /* blt */, PPC::BCC, Convert__imm_95_12__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4373
  { 1475 /* blt+ */, PPC::BCC, Convert__imm_95_15__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4374
  { 1475 /* blt+ */, PPC::BCC, Convert__imm_95_15__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4375
  { 1480 /* blt- */, PPC::BCC, Convert__imm_95_14__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4376
  { 1480 /* blt- */, PPC::BCC, Convert__imm_95_14__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4377
  { 1485 /* blta */, PPC::BCCA, Convert__imm_95_12__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4378
  { 1485 /* blta */, PPC::BCCA, Convert__imm_95_12__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4379
  { 1490 /* blta+ */, PPC::BCCA, Convert__imm_95_15__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4380
  { 1490 /* blta+ */, PPC::BCCA, Convert__imm_95_15__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4381
  { 1496 /* blta- */, PPC::BCCA, Convert__imm_95_14__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4382
  { 1496 /* blta- */, PPC::BCCA, Convert__imm_95_14__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4383
  { 1502 /* bltctr */, PPC::BCCCTR, Convert__imm_95_12__regCR0, 0, {  }, },
4384
  { 1502 /* bltctr */, PPC::BCCCTR, Convert__imm_95_12__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4385
  { 1509 /* bltctr+ */, PPC::BCCCTR, Convert__imm_95_15__regCR0, 0, {  }, },
4386
  { 1509 /* bltctr+ */, PPC::BCCCTR, Convert__imm_95_15__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4387
  { 1517 /* bltctr- */, PPC::BCCCTR, Convert__imm_95_14__regCR0, 0, {  }, },
4388
  { 1517 /* bltctr- */, PPC::BCCCTR, Convert__imm_95_14__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4389
  { 1525 /* bltctrl */, PPC::BCCCTRL, Convert__imm_95_12__regCR0, 0, {  }, },
4390
  { 1525 /* bltctrl */, PPC::BCCCTRL, Convert__imm_95_12__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4391
  { 1533 /* bltctrl+ */, PPC::BCCCTRL, Convert__imm_95_15__regCR0, 0, {  }, },
4392
  { 1533 /* bltctrl+ */, PPC::BCCCTRL, Convert__imm_95_15__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4393
  { 1542 /* bltctrl- */, PPC::BCCCTRL, Convert__imm_95_14__regCR0, 0, {  }, },
4394
  { 1542 /* bltctrl- */, PPC::BCCCTRL, Convert__imm_95_14__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4395
  { 1551 /* bltl */, PPC::BCCL, Convert__imm_95_12__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4396
  { 1551 /* bltl */, PPC::BCCL, Convert__imm_95_12__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4397
  { 1556 /* bltl+ */, PPC::BCCL, Convert__imm_95_15__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4398
  { 1556 /* bltl+ */, PPC::BCCL, Convert__imm_95_15__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4399
  { 1562 /* bltl- */, PPC::BCCL, Convert__imm_95_14__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4400
  { 1562 /* bltl- */, PPC::BCCL, Convert__imm_95_14__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4401
  { 1568 /* bltla */, PPC::BCCLA, Convert__imm_95_12__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4402
  { 1568 /* bltla */, PPC::BCCLA, Convert__imm_95_12__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4403
  { 1574 /* bltla+ */, PPC::BCCLA, Convert__imm_95_15__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4404
  { 1574 /* bltla+ */, PPC::BCCLA, Convert__imm_95_15__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4405
  { 1581 /* bltla- */, PPC::BCCLA, Convert__imm_95_14__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4406
  { 1581 /* bltla- */, PPC::BCCLA, Convert__imm_95_14__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4407
  { 1588 /* bltlr */, PPC::BCCLR, Convert__imm_95_12__regCR0, 0, {  }, },
4408
  { 1588 /* bltlr */, PPC::BCCLR, Convert__imm_95_12__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4409
  { 1594 /* bltlr+ */, PPC::BCCLR, Convert__imm_95_15__regCR0, 0, {  }, },
4410
  { 1594 /* bltlr+ */, PPC::BCCLR, Convert__imm_95_15__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4411
  { 1601 /* bltlr- */, PPC::BCCLR, Convert__imm_95_14__regCR0, 0, {  }, },
4412
  { 1601 /* bltlr- */, PPC::BCCLR, Convert__imm_95_14__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4413
  { 1608 /* bltlrl */, PPC::BCCLRL, Convert__imm_95_12__regCR0, 0, {  }, },
4414
  { 1608 /* bltlrl */, PPC::BCCLRL, Convert__imm_95_12__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4415
  { 1615 /* bltlrl+ */, PPC::BCCLRL, Convert__imm_95_15__regCR0, 0, {  }, },
4416
  { 1615 /* bltlrl+ */, PPC::BCCLRL, Convert__imm_95_15__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4417
  { 1623 /* bltlrl- */, PPC::BCCLRL, Convert__imm_95_14__regCR0, 0, {  }, },
4418
  { 1623 /* bltlrl- */, PPC::BCCLRL, Convert__imm_95_14__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4419
  { 1631 /* bne */, PPC::BCC, Convert__imm_95_68__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4420
  { 1631 /* bne */, PPC::BCC, Convert__imm_95_68__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4421
  { 1635 /* bne+ */, PPC::BCC, Convert__imm_95_71__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4422
  { 1635 /* bne+ */, PPC::BCC, Convert__imm_95_71__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4423
  { 1640 /* bne- */, PPC::BCC, Convert__imm_95_70__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4424
  { 1640 /* bne- */, PPC::BCC, Convert__imm_95_70__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4425
  { 1645 /* bnea */, PPC::BCCA, Convert__imm_95_68__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4426
  { 1645 /* bnea */, PPC::BCCA, Convert__imm_95_68__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4427
  { 1650 /* bnea+ */, PPC::BCCA, Convert__imm_95_71__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4428
  { 1650 /* bnea+ */, PPC::BCCA, Convert__imm_95_71__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4429
  { 1656 /* bnea- */, PPC::BCCA, Convert__imm_95_70__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4430
  { 1656 /* bnea- */, PPC::BCCA, Convert__imm_95_70__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4431
  { 1662 /* bnectr */, PPC::BCCCTR, Convert__imm_95_68__regCR0, 0, {  }, },
4432
  { 1662 /* bnectr */, PPC::BCCCTR, Convert__imm_95_68__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4433
  { 1669 /* bnectr+ */, PPC::BCCCTR, Convert__imm_95_71__regCR0, 0, {  }, },
4434
  { 1669 /* bnectr+ */, PPC::BCCCTR, Convert__imm_95_71__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4435
  { 1677 /* bnectr- */, PPC::BCCCTR, Convert__imm_95_70__regCR0, 0, {  }, },
4436
  { 1677 /* bnectr- */, PPC::BCCCTR, Convert__imm_95_70__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4437
  { 1685 /* bnectrl */, PPC::BCCCTRL, Convert__imm_95_68__regCR0, 0, {  }, },
4438
  { 1685 /* bnectrl */, PPC::BCCCTRL, Convert__imm_95_68__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4439
  { 1693 /* bnectrl+ */, PPC::BCCCTRL, Convert__imm_95_71__regCR0, 0, {  }, },
4440
  { 1693 /* bnectrl+ */, PPC::BCCCTRL, Convert__imm_95_71__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4441
  { 1702 /* bnectrl- */, PPC::BCCCTRL, Convert__imm_95_70__regCR0, 0, {  }, },
4442
  { 1702 /* bnectrl- */, PPC::BCCCTRL, Convert__imm_95_70__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4443
  { 1711 /* bnel */, PPC::BCCL, Convert__imm_95_68__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4444
  { 1711 /* bnel */, PPC::BCCL, Convert__imm_95_68__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4445
  { 1716 /* bnel+ */, PPC::BCCL, Convert__imm_95_71__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4446
  { 1716 /* bnel+ */, PPC::BCCL, Convert__imm_95_71__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4447
  { 1722 /* bnel- */, PPC::BCCL, Convert__imm_95_70__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4448
  { 1722 /* bnel- */, PPC::BCCL, Convert__imm_95_70__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4449
  { 1728 /* bnela */, PPC::BCCLA, Convert__imm_95_68__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4450
  { 1728 /* bnela */, PPC::BCCLA, Convert__imm_95_68__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4451
  { 1734 /* bnela+ */, PPC::BCCLA, Convert__imm_95_71__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4452
  { 1734 /* bnela+ */, PPC::BCCLA, Convert__imm_95_71__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4453
  { 1741 /* bnela- */, PPC::BCCLA, Convert__imm_95_70__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4454
  { 1741 /* bnela- */, PPC::BCCLA, Convert__imm_95_70__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4455
  { 1748 /* bnelr */, PPC::BCCLR, Convert__imm_95_68__regCR0, 0, {  }, },
4456
  { 1748 /* bnelr */, PPC::BCCLR, Convert__imm_95_68__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4457
  { 1754 /* bnelr+ */, PPC::BCCLR, Convert__imm_95_71__regCR0, 0, {  }, },
4458
  { 1754 /* bnelr+ */, PPC::BCCLR, Convert__imm_95_71__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4459
  { 1761 /* bnelr- */, PPC::BCCLR, Convert__imm_95_70__regCR0, 0, {  }, },
4460
  { 1761 /* bnelr- */, PPC::BCCLR, Convert__imm_95_70__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4461
  { 1768 /* bnelrl */, PPC::BCCLRL, Convert__imm_95_68__regCR0, 0, {  }, },
4462
  { 1768 /* bnelrl */, PPC::BCCLRL, Convert__imm_95_68__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4463
  { 1775 /* bnelrl+ */, PPC::BCCLRL, Convert__imm_95_71__regCR0, 0, {  }, },
4464
  { 1775 /* bnelrl+ */, PPC::BCCLRL, Convert__imm_95_71__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4465
  { 1783 /* bnelrl- */, PPC::BCCLRL, Convert__imm_95_70__regCR0, 0, {  }, },
4466
  { 1783 /* bnelrl- */, PPC::BCCLRL, Convert__imm_95_70__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4467
  { 1791 /* bng */, PPC::BCC, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4468
  { 1791 /* bng */, PPC::BCC, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4469
  { 1795 /* bng+ */, PPC::BCC, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4470
  { 1795 /* bng+ */, PPC::BCC, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4471
  { 1800 /* bng- */, PPC::BCC, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4472
  { 1800 /* bng- */, PPC::BCC, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4473
  { 1805 /* bnga */, PPC::BCCA, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4474
  { 1805 /* bnga */, PPC::BCCA, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4475
  { 1810 /* bnga+ */, PPC::BCCA, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4476
  { 1810 /* bnga+ */, PPC::BCCA, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4477
  { 1816 /* bnga- */, PPC::BCCA, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4478
  { 1816 /* bnga- */, PPC::BCCA, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4479
  { 1822 /* bngctr */, PPC::BCCCTR, Convert__imm_95_36__regCR0, 0, {  }, },
4480
  { 1822 /* bngctr */, PPC::BCCCTR, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4481
  { 1829 /* bngctr+ */, PPC::BCCCTR, Convert__imm_95_39__regCR0, 0, {  }, },
4482
  { 1829 /* bngctr+ */, PPC::BCCCTR, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4483
  { 1837 /* bngctr- */, PPC::BCCCTR, Convert__imm_95_38__regCR0, 0, {  }, },
4484
  { 1837 /* bngctr- */, PPC::BCCCTR, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4485
  { 1845 /* bngctrl */, PPC::BCCCTRL, Convert__imm_95_36__regCR0, 0, {  }, },
4486
  { 1845 /* bngctrl */, PPC::BCCCTRL, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4487
  { 1853 /* bngctrl+ */, PPC::BCCCTRL, Convert__imm_95_39__regCR0, 0, {  }, },
4488
  { 1853 /* bngctrl+ */, PPC::BCCCTRL, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4489
  { 1862 /* bngctrl- */, PPC::BCCCTRL, Convert__imm_95_38__regCR0, 0, {  }, },
4490
  { 1862 /* bngctrl- */, PPC::BCCCTRL, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4491
  { 1871 /* bngl */, PPC::BCCL, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4492
  { 1871 /* bngl */, PPC::BCCL, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4493
  { 1876 /* bngl+ */, PPC::BCCL, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4494
  { 1876 /* bngl+ */, PPC::BCCL, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4495
  { 1882 /* bngl- */, PPC::BCCL, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4496
  { 1882 /* bngl- */, PPC::BCCL, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4497
  { 1888 /* bngla */, PPC::BCCLA, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4498
  { 1888 /* bngla */, PPC::BCCLA, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4499
  { 1894 /* bngla+ */, PPC::BCCLA, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4500
  { 1894 /* bngla+ */, PPC::BCCLA, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4501
  { 1901 /* bngla- */, PPC::BCCLA, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4502
  { 1901 /* bngla- */, PPC::BCCLA, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4503
  { 1908 /* bnglr */, PPC::BCCLR, Convert__imm_95_36__regCR0, 0, {  }, },
4504
  { 1908 /* bnglr */, PPC::BCCLR, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4505
  { 1914 /* bnglr+ */, PPC::BCCLR, Convert__imm_95_39__regCR0, 0, {  }, },
4506
  { 1914 /* bnglr+ */, PPC::BCCLR, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4507
  { 1921 /* bnglr- */, PPC::BCCLR, Convert__imm_95_38__regCR0, 0, {  }, },
4508
  { 1921 /* bnglr- */, PPC::BCCLR, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4509
  { 1928 /* bnglrl */, PPC::BCCLRL, Convert__imm_95_36__regCR0, 0, {  }, },
4510
  { 1928 /* bnglrl */, PPC::BCCLRL, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4511
  { 1935 /* bnglrl+ */, PPC::BCCLRL, Convert__imm_95_39__regCR0, 0, {  }, },
4512
  { 1935 /* bnglrl+ */, PPC::BCCLRL, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4513
  { 1943 /* bnglrl- */, PPC::BCCLRL, Convert__imm_95_38__regCR0, 0, {  }, },
4514
  { 1943 /* bnglrl- */, PPC::BCCLRL, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4515
  { 1951 /* bnl */, PPC::BCC, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4516
  { 1951 /* bnl */, PPC::BCC, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4517
  { 1955 /* bnl+ */, PPC::BCC, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4518
  { 1955 /* bnl+ */, PPC::BCC, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4519
  { 1960 /* bnl- */, PPC::BCC, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4520
  { 1960 /* bnl- */, PPC::BCC, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4521
  { 1965 /* bnla */, PPC::BCCA, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4522
  { 1965 /* bnla */, PPC::BCCA, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4523
  { 1970 /* bnla+ */, PPC::BCCA, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4524
  { 1970 /* bnla+ */, PPC::BCCA, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4525
  { 1976 /* bnla- */, PPC::BCCA, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4526
  { 1976 /* bnla- */, PPC::BCCA, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4527
  { 1982 /* bnlctr */, PPC::BCCCTR, Convert__imm_95_4__regCR0, 0, {  }, },
4528
  { 1982 /* bnlctr */, PPC::BCCCTR, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4529
  { 1989 /* bnlctr+ */, PPC::BCCCTR, Convert__imm_95_7__regCR0, 0, {  }, },
4530
  { 1989 /* bnlctr+ */, PPC::BCCCTR, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4531
  { 1997 /* bnlctr- */, PPC::BCCCTR, Convert__imm_95_6__regCR0, 0, {  }, },
4532
  { 1997 /* bnlctr- */, PPC::BCCCTR, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4533
  { 2005 /* bnlctrl */, PPC::BCCCTRL, Convert__imm_95_4__regCR0, 0, {  }, },
4534
  { 2005 /* bnlctrl */, PPC::BCCCTRL, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4535
  { 2013 /* bnlctrl+ */, PPC::BCCCTRL, Convert__imm_95_7__regCR0, 0, {  }, },
4536
  { 2013 /* bnlctrl+ */, PPC::BCCCTRL, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4537
  { 2022 /* bnlctrl- */, PPC::BCCCTRL, Convert__imm_95_6__regCR0, 0, {  }, },
4538
  { 2022 /* bnlctrl- */, PPC::BCCCTRL, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4539
  { 2031 /* bnll */, PPC::BCCL, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4540
  { 2031 /* bnll */, PPC::BCCL, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4541
  { 2036 /* bnll+ */, PPC::BCCL, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4542
  { 2036 /* bnll+ */, PPC::BCCL, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4543
  { 2042 /* bnll- */, PPC::BCCL, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4544
  { 2042 /* bnll- */, PPC::BCCL, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4545
  { 2048 /* bnlla */, PPC::BCCLA, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4546
  { 2048 /* bnlla */, PPC::BCCLA, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4547
  { 2054 /* bnlla+ */, PPC::BCCLA, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4548
  { 2054 /* bnlla+ */, PPC::BCCLA, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4549
  { 2061 /* bnlla- */, PPC::BCCLA, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4550
  { 2061 /* bnlla- */, PPC::BCCLA, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4551
  { 2068 /* bnllr */, PPC::BCCLR, Convert__imm_95_4__regCR0, 0, {  }, },
4552
  { 2068 /* bnllr */, PPC::BCCLR, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4553
  { 2074 /* bnllr+ */, PPC::BCCLR, Convert__imm_95_7__regCR0, 0, {  }, },
4554
  { 2074 /* bnllr+ */, PPC::BCCLR, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4555
  { 2081 /* bnllr- */, PPC::BCCLR, Convert__imm_95_6__regCR0, 0, {  }, },
4556
  { 2081 /* bnllr- */, PPC::BCCLR, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4557
  { 2088 /* bnllrl */, PPC::BCCLRL, Convert__imm_95_4__regCR0, 0, {  }, },
4558
  { 2088 /* bnllrl */, PPC::BCCLRL, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4559
  { 2095 /* bnllrl+ */, PPC::BCCLRL, Convert__imm_95_7__regCR0, 0, {  }, },
4560
  { 2095 /* bnllrl+ */, PPC::BCCLRL, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4561
  { 2103 /* bnllrl- */, PPC::BCCLRL, Convert__imm_95_6__regCR0, 0, {  }, },
4562
  { 2103 /* bnllrl- */, PPC::BCCLRL, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4563
  { 2111 /* bns */, PPC::BCC, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4564
  { 2111 /* bns */, PPC::BCC, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4565
  { 2115 /* bns+ */, PPC::BCC, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4566
  { 2115 /* bns+ */, PPC::BCC, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4567
  { 2120 /* bns- */, PPC::BCC, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4568
  { 2120 /* bns- */, PPC::BCC, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4569
  { 2125 /* bnsa */, PPC::BCCA, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4570
  { 2125 /* bnsa */, PPC::BCCA, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4571
  { 2130 /* bnsa+ */, PPC::BCCA, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4572
  { 2130 /* bnsa+ */, PPC::BCCA, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4573
  { 2136 /* bnsa- */, PPC::BCCA, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4574
  { 2136 /* bnsa- */, PPC::BCCA, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4575
  { 2142 /* bnsctr */, PPC::BCCCTR, Convert__imm_95_100__regCR0, 0, {  }, },
4576
  { 2142 /* bnsctr */, PPC::BCCCTR, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4577
  { 2149 /* bnsctr+ */, PPC::BCCCTR, Convert__imm_95_103__regCR0, 0, {  }, },
4578
  { 2149 /* bnsctr+ */, PPC::BCCCTR, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4579
  { 2157 /* bnsctr- */, PPC::BCCCTR, Convert__imm_95_102__regCR0, 0, {  }, },
4580
  { 2157 /* bnsctr- */, PPC::BCCCTR, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4581
  { 2165 /* bnsctrl */, PPC::BCCCTRL, Convert__imm_95_100__regCR0, 0, {  }, },
4582
  { 2165 /* bnsctrl */, PPC::BCCCTRL, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4583
  { 2173 /* bnsctrl+ */, PPC::BCCCTRL, Convert__imm_95_103__regCR0, 0, {  }, },
4584
  { 2173 /* bnsctrl+ */, PPC::BCCCTRL, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4585
  { 2182 /* bnsctrl- */, PPC::BCCCTRL, Convert__imm_95_102__regCR0, 0, {  }, },
4586
  { 2182 /* bnsctrl- */, PPC::BCCCTRL, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4587
  { 2191 /* bnsl */, PPC::BCCL, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4588
  { 2191 /* bnsl */, PPC::BCCL, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4589
  { 2196 /* bnsl+ */, PPC::BCCL, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4590
  { 2196 /* bnsl+ */, PPC::BCCL, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4591
  { 2202 /* bnsl- */, PPC::BCCL, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4592
  { 2202 /* bnsl- */, PPC::BCCL, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4593
  { 2208 /* bnsla */, PPC::BCCLA, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4594
  { 2208 /* bnsla */, PPC::BCCLA, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4595
  { 2214 /* bnsla+ */, PPC::BCCLA, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4596
  { 2214 /* bnsla+ */, PPC::BCCLA, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4597
  { 2221 /* bnsla- */, PPC::BCCLA, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4598
  { 2221 /* bnsla- */, PPC::BCCLA, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4599
  { 2228 /* bnslr */, PPC::BCCLR, Convert__imm_95_100__regCR0, 0, {  }, },
4600
  { 2228 /* bnslr */, PPC::BCCLR, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4601
  { 2234 /* bnslr+ */, PPC::BCCLR, Convert__imm_95_103__regCR0, 0, {  }, },
4602
  { 2234 /* bnslr+ */, PPC::BCCLR, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4603
  { 2241 /* bnslr- */, PPC::BCCLR, Convert__imm_95_102__regCR0, 0, {  }, },
4604
  { 2241 /* bnslr- */, PPC::BCCLR, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4605
  { 2248 /* bnslrl */, PPC::BCCLRL, Convert__imm_95_100__regCR0, 0, {  }, },
4606
  { 2248 /* bnslrl */, PPC::BCCLRL, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4607
  { 2255 /* bnslrl+ */, PPC::BCCLRL, Convert__imm_95_103__regCR0, 0, {  }, },
4608
  { 2255 /* bnslrl+ */, PPC::BCCLRL, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4609
  { 2263 /* bnslrl- */, PPC::BCCLRL, Convert__imm_95_102__regCR0, 0, {  }, },
4610
  { 2263 /* bnslrl- */, PPC::BCCLRL, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4611
  { 2271 /* bnu */, PPC::BCC, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4612
  { 2271 /* bnu */, PPC::BCC, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4613
  { 2275 /* bnu+ */, PPC::BCC, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4614
  { 2275 /* bnu+ */, PPC::BCC, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4615
  { 2280 /* bnu- */, PPC::BCC, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4616
  { 2280 /* bnu- */, PPC::BCC, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4617
  { 2285 /* bnua */, PPC::BCCA, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4618
  { 2285 /* bnua */, PPC::BCCA, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4619
  { 2290 /* bnua+ */, PPC::BCCA, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4620
  { 2290 /* bnua+ */, PPC::BCCA, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4621
  { 2296 /* bnua- */, PPC::BCCA, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4622
  { 2296 /* bnua- */, PPC::BCCA, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4623
  { 2302 /* bnuctr */, PPC::BCCCTR, Convert__imm_95_100__regCR0, 0, {  }, },
4624
  { 2302 /* bnuctr */, PPC::BCCCTR, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4625
  { 2309 /* bnuctr+ */, PPC::BCCCTR, Convert__imm_95_103__regCR0, 0, {  }, },
4626
  { 2309 /* bnuctr+ */, PPC::BCCCTR, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4627
  { 2317 /* bnuctr- */, PPC::BCCCTR, Convert__imm_95_102__regCR0, 0, {  }, },
4628
  { 2317 /* bnuctr- */, PPC::BCCCTR, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4629
  { 2325 /* bnuctrl */, PPC::BCCCTRL, Convert__imm_95_100__regCR0, 0, {  }, },
4630
  { 2325 /* bnuctrl */, PPC::BCCCTRL, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4631
  { 2333 /* bnuctrl+ */, PPC::BCCCTRL, Convert__imm_95_103__regCR0, 0, {  }, },
4632
  { 2333 /* bnuctrl+ */, PPC::BCCCTRL, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4633
  { 2342 /* bnuctrl- */, PPC::BCCCTRL, Convert__imm_95_102__regCR0, 0, {  }, },
4634
  { 2342 /* bnuctrl- */, PPC::BCCCTRL, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4635
  { 2351 /* bnul */, PPC::BCCL, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4636
  { 2351 /* bnul */, PPC::BCCL, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4637
  { 2356 /* bnul+ */, PPC::BCCL, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4638
  { 2356 /* bnul+ */, PPC::BCCL, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4639
  { 2362 /* bnul- */, PPC::BCCL, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4640
  { 2362 /* bnul- */, PPC::BCCL, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4641
  { 2368 /* bnula */, PPC::BCCLA, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4642
  { 2368 /* bnula */, PPC::BCCLA, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4643
  { 2374 /* bnula+ */, PPC::BCCLA, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4644
  { 2374 /* bnula+ */, PPC::BCCLA, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4645
  { 2381 /* bnula- */, PPC::BCCLA, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4646
  { 2381 /* bnula- */, PPC::BCCLA, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4647
  { 2388 /* bnulr */, PPC::BCCLR, Convert__imm_95_100__regCR0, 0, {  }, },
4648
  { 2388 /* bnulr */, PPC::BCCLR, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4649
  { 2394 /* bnulr+ */, PPC::BCCLR, Convert__imm_95_103__regCR0, 0, {  }, },
4650
  { 2394 /* bnulr+ */, PPC::BCCLR, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4651
  { 2401 /* bnulr- */, PPC::BCCLR, Convert__imm_95_102__regCR0, 0, {  }, },
4652
  { 2401 /* bnulr- */, PPC::BCCLR, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4653
  { 2408 /* bnulrl */, PPC::BCCLRL, Convert__imm_95_100__regCR0, 0, {  }, },
4654
  { 2408 /* bnulrl */, PPC::BCCLRL, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4655
  { 2415 /* bnulrl+ */, PPC::BCCLRL, Convert__imm_95_103__regCR0, 0, {  }, },
4656
  { 2415 /* bnulrl+ */, PPC::BCCLRL, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4657
  { 2423 /* bnulrl- */, PPC::BCCLRL, Convert__imm_95_102__regCR0, 0, {  }, },
4658
  { 2423 /* bnulrl- */, PPC::BCCLRL, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4659
  { 2431 /* bpermd */, PPC::BPERMD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
4660
  { 2438 /* brinc */, PPC::BRINC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4661
  { 2444 /* bso */, PPC::BCC, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4662
  { 2444 /* bso */, PPC::BCC, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4663
  { 2448 /* bso+ */, PPC::BCC, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4664
  { 2448 /* bso+ */, PPC::BCC, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4665
  { 2453 /* bso- */, PPC::BCC, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4666
  { 2453 /* bso- */, PPC::BCC, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4667
  { 2458 /* bsoa */, PPC::BCCA, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4668
  { 2458 /* bsoa */, PPC::BCCA, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4669
  { 2463 /* bsoa+ */, PPC::BCCA, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4670
  { 2463 /* bsoa+ */, PPC::BCCA, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4671
  { 2469 /* bsoa- */, PPC::BCCA, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4672
  { 2469 /* bsoa- */, PPC::BCCA, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4673
  { 2475 /* bsoctr */, PPC::BCCCTR, Convert__imm_95_108__regCR0, 0, {  }, },
4674
  { 2475 /* bsoctr */, PPC::BCCCTR, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4675
  { 2482 /* bsoctr+ */, PPC::BCCCTR, Convert__imm_95_111__regCR0, 0, {  }, },
4676
  { 2482 /* bsoctr+ */, PPC::BCCCTR, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4677
  { 2490 /* bsoctr- */, PPC::BCCCTR, Convert__imm_95_110__regCR0, 0, {  }, },
4678
  { 2490 /* bsoctr- */, PPC::BCCCTR, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4679
  { 2498 /* bsoctrl */, PPC::BCCCTRL, Convert__imm_95_108__regCR0, 0, {  }, },
4680
  { 2498 /* bsoctrl */, PPC::BCCCTRL, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4681
  { 2506 /* bsoctrl+ */, PPC::BCCCTRL, Convert__imm_95_111__regCR0, 0, {  }, },
4682
  { 2506 /* bsoctrl+ */, PPC::BCCCTRL, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4683
  { 2515 /* bsoctrl- */, PPC::BCCCTRL, Convert__imm_95_110__regCR0, 0, {  }, },
4684
  { 2515 /* bsoctrl- */, PPC::BCCCTRL, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4685
  { 2524 /* bsol */, PPC::BCCL, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4686
  { 2524 /* bsol */, PPC::BCCL, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4687
  { 2529 /* bsol+ */, PPC::BCCL, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4688
  { 2529 /* bsol+ */, PPC::BCCL, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4689
  { 2535 /* bsol- */, PPC::BCCL, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4690
  { 2535 /* bsol- */, PPC::BCCL, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4691
  { 2541 /* bsola */, PPC::BCCLA, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4692
  { 2541 /* bsola */, PPC::BCCLA, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4693
  { 2547 /* bsola+ */, PPC::BCCLA, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4694
  { 2547 /* bsola+ */, PPC::BCCLA, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4695
  { 2554 /* bsola- */, PPC::BCCLA, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4696
  { 2554 /* bsola- */, PPC::BCCLA, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4697
  { 2561 /* bsolr */, PPC::BCCLR, Convert__imm_95_108__regCR0, 0, {  }, },
4698
  { 2561 /* bsolr */, PPC::BCCLR, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4699
  { 2567 /* bsolr+ */, PPC::BCCLR, Convert__imm_95_111__regCR0, 0, {  }, },
4700
  { 2567 /* bsolr+ */, PPC::BCCLR, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4701
  { 2574 /* bsolr- */, PPC::BCCLR, Convert__imm_95_110__regCR0, 0, {  }, },
4702
  { 2574 /* bsolr- */, PPC::BCCLR, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4703
  { 2581 /* bsolrl */, PPC::BCCLRL, Convert__imm_95_108__regCR0, 0, {  }, },
4704
  { 2581 /* bsolrl */, PPC::BCCLRL, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4705
  { 2588 /* bsolrl+ */, PPC::BCCLRL, Convert__imm_95_111__regCR0, 0, {  }, },
4706
  { 2588 /* bsolrl+ */, PPC::BCCLRL, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4707
  { 2596 /* bsolrl- */, PPC::BCCLRL, Convert__imm_95_110__regCR0, 0, {  }, },
4708
  { 2596 /* bsolrl- */, PPC::BCCLRL, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4709
  { 2604 /* bt */, PPC::gBC, Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4710
  { 2607 /* bt+ */, PPC::gBC, Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4711
  { 2611 /* bt- */, PPC::gBC, Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4712
  { 2615 /* bta */, PPC::gBCA, Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4713
  { 2619 /* bta+ */, PPC::gBCA, Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4714
  { 2624 /* bta- */, PPC::gBCA, Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4715
  { 2629 /* btctr */, PPC::gBCCTR, Convert__imm_95_12__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4716
  { 2635 /* btctr+ */, PPC::gBCCTR, Convert__imm_95_15__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4717
  { 2642 /* btctr- */, PPC::gBCCTR, Convert__imm_95_14__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4718
  { 2649 /* btctrl */, PPC::gBCCTRL, Convert__imm_95_12__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4719
  { 2656 /* btctrl+ */, PPC::gBCCTRL, Convert__imm_95_15__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4720
  { 2664 /* btctrl- */, PPC::gBCCTRL, Convert__imm_95_14__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4721
  { 2672 /* btl */, PPC::gBCL, Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4722
  { 2676 /* btl+ */, PPC::gBCL, Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4723
  { 2681 /* btl- */, PPC::gBCL, Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4724
  { 2686 /* btla */, PPC::gBCLA, Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4725
  { 2691 /* btla+ */, PPC::gBCLA, Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4726
  { 2697 /* btla- */, PPC::gBCLA, Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, },
4727
  { 2703 /* btlr */, PPC::gBCLR, Convert__imm_95_12__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4728
  { 2708 /* btlr+ */, PPC::gBCLR, Convert__imm_95_15__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4729
  { 2714 /* btlr- */, PPC::gBCLR, Convert__imm_95_14__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4730
  { 2720 /* btlrl */, PPC::gBCLRL, Convert__imm_95_12__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4731
  { 2726 /* btlrl+ */, PPC::gBCLRL, Convert__imm_95_15__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4732
  { 2733 /* btlrl- */, PPC::gBCLRL, Convert__imm_95_14__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, },
4733
  { 2740 /* bun */, PPC::BCC, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4734
  { 2740 /* bun */, PPC::BCC, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4735
  { 2744 /* bun+ */, PPC::BCC, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4736
  { 2744 /* bun+ */, PPC::BCC, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4737
  { 2749 /* bun- */, PPC::BCC, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4738
  { 2749 /* bun- */, PPC::BCC, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4739
  { 2754 /* buna */, PPC::BCCA, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4740
  { 2754 /* buna */, PPC::BCCA, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4741
  { 2759 /* buna+ */, PPC::BCCA, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4742
  { 2759 /* buna+ */, PPC::BCCA, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4743
  { 2765 /* buna- */, PPC::BCCA, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4744
  { 2765 /* buna- */, PPC::BCCA, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4745
  { 2771 /* bunctr */, PPC::BCCCTR, Convert__imm_95_108__regCR0, 0, {  }, },
4746
  { 2771 /* bunctr */, PPC::BCCCTR, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4747
  { 2778 /* bunctr+ */, PPC::BCCCTR, Convert__imm_95_111__regCR0, 0, {  }, },
4748
  { 2778 /* bunctr+ */, PPC::BCCCTR, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4749
  { 2786 /* bunctr- */, PPC::BCCCTR, Convert__imm_95_110__regCR0, 0, {  }, },
4750
  { 2786 /* bunctr- */, PPC::BCCCTR, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4751
  { 2794 /* bunctrl */, PPC::BCCCTRL, Convert__imm_95_108__regCR0, 0, {  }, },
4752
  { 2794 /* bunctrl */, PPC::BCCCTRL, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4753
  { 2802 /* bunctrl+ */, PPC::BCCCTRL, Convert__imm_95_111__regCR0, 0, {  }, },
4754
  { 2802 /* bunctrl+ */, PPC::BCCCTRL, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4755
  { 2811 /* bunctrl- */, PPC::BCCCTRL, Convert__imm_95_110__regCR0, 0, {  }, },
4756
  { 2811 /* bunctrl- */, PPC::BCCCTRL, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4757
  { 2820 /* bunl */, PPC::BCCL, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4758
  { 2820 /* bunl */, PPC::BCCL, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4759
  { 2825 /* bunl+ */, PPC::BCCL, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4760
  { 2825 /* bunl+ */, PPC::BCCL, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4761
  { 2831 /* bunl- */, PPC::BCCL, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4762
  { 2831 /* bunl- */, PPC::BCCL, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4763
  { 2837 /* bunla */, PPC::BCCLA, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4764
  { 2837 /* bunla */, PPC::BCCLA, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4765
  { 2843 /* bunla+ */, PPC::BCCLA, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4766
  { 2843 /* bunla+ */, PPC::BCCLA, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4767
  { 2850 /* bunla- */, PPC::BCCLA, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, },
4768
  { 2850 /* bunla- */, PPC::BCCLA, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, },
4769
  { 2857 /* bunlr */, PPC::BCCLR, Convert__imm_95_108__regCR0, 0, {  }, },
4770
  { 2857 /* bunlr */, PPC::BCCLR, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4771
  { 2863 /* bunlr+ */, PPC::BCCLR, Convert__imm_95_111__regCR0, 0, {  }, },
4772
  { 2863 /* bunlr+ */, PPC::BCCLR, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4773
  { 2870 /* bunlr- */, PPC::BCCLR, Convert__imm_95_110__regCR0, 0, {  }, },
4774
  { 2870 /* bunlr- */, PPC::BCCLR, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4775
  { 2877 /* bunlrl */, PPC::BCCLRL, Convert__imm_95_108__regCR0, 0, {  }, },
4776
  { 2877 /* bunlrl */, PPC::BCCLRL, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4777
  { 2884 /* bunlrl+ */, PPC::BCCLRL, Convert__imm_95_111__regCR0, 0, {  }, },
4778
  { 2884 /* bunlrl+ */, PPC::BCCLRL, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4779
  { 2892 /* bunlrl- */, PPC::BCCLRL, Convert__imm_95_110__regCR0, 0, {  }, },
4780
  { 2892 /* bunlrl- */, PPC::BCCLRL, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, },
4781
  { 2900 /* clrbhrb */, PPC::CLRBHRB, Convert_NoOperands, 0, {  }, },
4782
  { 2908 /* clrldi */, PPC::RLDICL, Convert__RegG8RC1_0__RegG8RC1_1__imm_95_0__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
4783
  { 2908 /* clrldi */, PPC::RLDICL_32_64, Convert__RegG8RC1_0__RegGPRC1_1__imm_95_0__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegGPRC, MCK_U6Imm }, },
4784
  { 2908 /* clrldi */, PPC::RLDICLo, Convert__RegG8RC1_1__RegG8RC1_2__imm_95_0__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
4785
  { 2915 /* clrlsldi */, PPC::CLRLSLDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
4786
  { 2915 /* clrlsldi */, PPC::CLRLSLDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
4787
  { 2924 /* clrlslwi */, PPC::CLRLSLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
4788
  { 2924 /* clrlslwi */, PPC::CLRLSLWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
4789
  { 2933 /* clrlwi */, PPC::RLWINM, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0__U5Imm1_2__imm_95_31, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
4790
  { 2933 /* clrlwi */, PPC::RLWINMo, Convert__RegGPRC1_1__RegGPRC1_2__imm_95_0__U5Imm1_3__imm_95_31, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
4791
  { 2940 /* clrrdi */, PPC::CLRRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
4792
  { 2940 /* clrrdi */, PPC::CLRRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
4793
  { 2947 /* clrrwi */, PPC::CLRRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
4794
  { 2947 /* clrrwi */, PPC::CLRRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
4795
  { 2954 /* cmp */, PPC::CMPW, Convert__RegCRRC1_0__RegGPRC1_2__RegGPRC1_3, 0, { MCK_RegCRRC, MCK_0, MCK_RegGPRC, MCK_RegGPRC }, },
4796
  { 2954 /* cmp */, PPC::CMPD, Convert__RegCRRC1_0__RegG8RC1_2__RegG8RC1_3, 0, { MCK_RegCRRC, MCK_1, MCK_RegG8RC, MCK_RegG8RC }, },
4797
  { 2958 /* cmpb */, PPC::CMPB, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4798
  { 2963 /* cmpd */, PPC::CMPD, Convert__regCR0__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
4799
  { 2963 /* cmpd */, PPC::CMPD, Convert__RegCRRC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegCRRC, MCK_RegG8RC, MCK_RegG8RC }, },
4800
  { 2968 /* cmpdi */, PPC::CMPDI, Convert__regCR0__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
4801
  { 2968 /* cmpdi */, PPC::CMPDI, Convert__RegCRRC1_0__RegG8RC1_1__S16Imm1_2, 0, { MCK_RegCRRC, MCK_RegG8RC, MCK_S16Imm }, },
4802
  { 2974 /* cmpeqb */, PPC::CMPEQB, Convert__RegCRBITRC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegCRBITRC, MCK_RegG8RC, MCK_RegG8RC }, },
4803
  { 2981 /* cmpi */, PPC::CMPWI, Convert__RegCRRC1_0__RegGPRC1_2__S16Imm1_3, 0, { MCK_RegCRRC, MCK_0, MCK_RegGPRC, MCK_S16Imm }, },
4804
  { 2981 /* cmpi */, PPC::CMPDI, Convert__RegCRRC1_0__RegG8RC1_2__S16Imm1_3, 0, { MCK_RegCRRC, MCK_1, MCK_RegG8RC, MCK_S16Imm }, },
4805
  { 2986 /* cmpl */, PPC::CMPLW, Convert__RegCRRC1_0__RegGPRC1_2__RegGPRC1_3, 0, { MCK_RegCRRC, MCK_0, MCK_RegGPRC, MCK_RegGPRC }, },
4806
  { 2986 /* cmpl */, PPC::CMPLD, Convert__RegCRRC1_0__RegG8RC1_2__RegG8RC1_3, 0, { MCK_RegCRRC, MCK_1, MCK_RegG8RC, MCK_RegG8RC }, },
4807
  { 2991 /* cmpld */, PPC::CMPLD, Convert__regCR0__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
4808
  { 2991 /* cmpld */, PPC::CMPLD, Convert__RegCRRC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegCRRC, MCK_RegG8RC, MCK_RegG8RC }, },
4809
  { 2997 /* cmpldi */, PPC::CMPLDI, Convert__regCR0__RegG8RC1_0__U16Imm1_1, 0, { MCK_RegG8RC, MCK_U16Imm }, },
4810
  { 2997 /* cmpldi */, PPC::CMPLDI, Convert__RegCRRC1_0__RegG8RC1_1__U16Imm1_2, 0, { MCK_RegCRRC, MCK_RegG8RC, MCK_U16Imm }, },
4811
  { 3004 /* cmpli */, PPC::CMPLWI, Convert__RegCRRC1_0__RegGPRC1_2__U16Imm1_3, 0, { MCK_RegCRRC, MCK_0, MCK_RegGPRC, MCK_U16Imm }, },
4812
  { 3004 /* cmpli */, PPC::CMPLDI, Convert__RegCRRC1_0__RegG8RC1_2__U16Imm1_3, 0, { MCK_RegCRRC, MCK_1, MCK_RegG8RC, MCK_U16Imm }, },
4813
  { 3010 /* cmplw */, PPC::CMPLW, Convert__regCR0__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4814
  { 3010 /* cmplw */, PPC::CMPLW, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, },
4815
  { 3016 /* cmplwi */, PPC::CMPLWI, Convert__regCR0__RegGPRC1_0__U16Imm1_1, 0, { MCK_RegGPRC, MCK_U16Imm }, },
4816
  { 3016 /* cmplwi */, PPC::CMPLWI, Convert__RegCRRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_U16Imm }, },
4817
  { 3023 /* cmprb */, PPC::CMPRB, Convert__RegCRBITRC1_0__U1Imm1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK_RegCRBITRC, MCK_U1Imm, MCK_RegG8RC, MCK_RegG8RC }, },
4818
  { 3029 /* cmpw */, PPC::CMPW, Convert__regCR0__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4819
  { 3029 /* cmpw */, PPC::CMPW, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, },
4820
  { 3034 /* cmpwi */, PPC::CMPWI, Convert__regCR0__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
4821
  { 3034 /* cmpwi */, PPC::CMPWI, Convert__RegCRRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_S16Imm }, },
4822
  { 3040 /* cntlzd */, PPC::CNTLZD, Convert__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
4823
  { 3040 /* cntlzd */, PPC::CNTLZDo, Convert__RegG8RC1_1__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC }, },
4824
  { 3047 /* cntlzw */, PPC::CNTLZW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4825
  { 3047 /* cntlzw */, PPC::CNTLZW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4826
  { 3047 /* cntlzw */, PPC::CNTLZWo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
4827
  { 3047 /* cntlzw */, PPC::CNTLZWo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
4828
  { 3054 /* cnttzd */, PPC::CNTTZD, Convert__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
4829
  { 3054 /* cnttzd */, PPC::CNTTZDo, Convert__RegG8RC1_1__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC }, },
4830
  { 3061 /* cnttzw */, PPC::CNTTZW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4831
  { 3061 /* cnttzw */, PPC::CNTTZWo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
4832
  { 3068 /* copy */, PPC::CP_COPYx, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4833
  { 3068 /* copy */, PPC::CP_COPY, Convert__RegGPRC1_0__RegGPRC1_1__U1Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U1Imm }, },
4834
  { 3073 /* copy_first */, PPC::CP_COPY_FIRST, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4835
  { 3084 /* cp_abort */, PPC::CP_ABORT, Convert_NoOperands, 0, {  }, },
4836
  { 3093 /* crand */, PPC::CRAND, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4837
  { 3099 /* crandc */, PPC::CRANDC, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4838
  { 3106 /* crclr */, PPC::CRXOR, Convert__RegCRBITRC1_0__RegCRBITRC1_0__RegCRBITRC1_0, 0, { MCK_RegCRBITRC }, },
4839
  { 3112 /* creqv */, PPC::CREQV, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4840
  { 3118 /* crmove */, PPC::CROR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_1, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4841
  { 3125 /* crnand */, PPC::CRNAND, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4842
  { 3132 /* crnor */, PPC::CRNOR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4843
  { 3138 /* crnot */, PPC::CRNOR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_1, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4844
  { 3144 /* cror */, PPC::CROR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4845
  { 3149 /* crorc */, PPC::CRORC, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4846
  { 3155 /* crset */, PPC::CREQV, Convert__RegCRBITRC1_0__RegCRBITRC1_0__RegCRBITRC1_0, 0, { MCK_RegCRBITRC }, },
4847
  { 3161 /* crxor */, PPC::CRXOR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, },
4848
  { 3167 /* darn */, PPC::DARN, Convert__RegG8RC1_0__Imm1_1, 0, { MCK_RegG8RC, MCK_Imm }, },
4849
  { 3172 /* dcba */, PPC::DCBA, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4850
  { 3177 /* dcbf */, PPC::DCBFx, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4851
  { 3177 /* dcbf */, PPC::DCBF, Convert__U5Imm1_2__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, },
4852
  { 3182 /* dcbfl */, PPC::DCBFL, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4853
  { 3188 /* dcbflp */, PPC::DCBFLP, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4854
  { 3195 /* dcbi */, PPC::DCBI, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4855
  { 3200 /* dcbst */, PPC::DCBST, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4856
  { 3206 /* dcbt */, PPC::DCBTx, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4857
  { 3206 /* dcbt */, PPC::DCBT, Convert__U5Imm1_2__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, },
4858
  { 3211 /* dcbtct */, PPC::DCBTCT, Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, },
4859
  { 3218 /* dcbtds */, PPC::DCBTDS, Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, },
4860
  { 3225 /* dcbtst */, PPC::DCBTSTx, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4861
  { 3225 /* dcbtst */, PPC::DCBTST, Convert__U5Imm1_2__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, },
4862
  { 3232 /* dcbtstct */, PPC::DCBTSTCT, Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, },
4863
  { 3241 /* dcbtstds */, PPC::DCBTSTDS, Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, },
4864
  { 3250 /* dcbtstt */, PPC::DCBTSTT, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4865
  { 3258 /* dcbtt */, PPC::DCBTT, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4866
  { 3264 /* dcbz */, PPC::DCBZ, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4867
  { 3269 /* dcbzl */, PPC::DCBZL, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
4868
  { 3275 /* dccci */, PPC::DCCCI, Convert__regR0__regR0, 0, {  }, },
4869
  { 3275 /* dccci */, PPC::DCCCI, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4870
  { 3281 /* dci */, PPC::DCCCI, Convert__regR0__regR0, 0, { MCK_0 }, },
4871
  { 3285 /* divd */, PPC::DIVD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
4872
  { 3285 /* divd */, PPC::DIVDo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
4873
  { 3290 /* divde */, PPC::DIVDE, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
4874
  { 3290 /* divde */, PPC::DIVDEo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
4875
  { 3296 /* divdeu */, PPC::DIVDEU, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
4876
  { 3296 /* divdeu */, PPC::DIVDEUo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
4877
  { 3303 /* divdu */, PPC::DIVDU, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
4878
  { 3303 /* divdu */, PPC::DIVDUo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
4879
  { 3309 /* divw */, PPC::DIVW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4880
  { 3309 /* divw */, PPC::DIVWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4881
  { 3314 /* divwe */, PPC::DIVWE, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4882
  { 3314 /* divwe */, PPC::DIVWEo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4883
  { 3320 /* divweu */, PPC::DIVWEU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4884
  { 3320 /* divweu */, PPC::DIVWEUo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4885
  { 3327 /* divwu */, PPC::DIVWU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4886
  { 3327 /* divwu */, PPC::DIVWUo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4887
  { 3333 /* dss */, PPC::DSS, Convert__U5Imm1_0, 0, { MCK_U5Imm }, },
4888
  { 3337 /* dssall */, PPC::DSSALL, Convert_NoOperands, 0, {  }, },
4889
  { 3344 /* dst */, PPC::DST, Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
4890
  { 3348 /* dstst */, PPC::DSTST, Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
4891
  { 3354 /* dststt */, PPC::DSTSTT, Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
4892
  { 3361 /* dstt */, PPC::DSTT, Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
4893
  { 3366 /* eieio */, PPC::EnforceIEIO, Convert_NoOperands, 0, {  }, },
4894
  { 3372 /* eqv */, PPC::EQV, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4895
  { 3372 /* eqv */, PPC::EQVo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4896
  { 3376 /* evabs */, PPC::EVABS, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4897
  { 3382 /* evaddiw */, PPC::EVADDIW, Convert__RegGPRC1_0__RegGPRC1_2__U5Imm1_1, 0, { MCK_RegGPRC, MCK_U5Imm, MCK_RegGPRC }, },
4898
  { 3390 /* evaddsmiaaw */, PPC::EVADDSMIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4899
  { 3402 /* evaddssiaaw */, PPC::EVADDSSIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4900
  { 3414 /* evaddumiaaw */, PPC::EVADDUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4901
  { 3426 /* evaddusiaaw */, PPC::EVADDUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4902
  { 3438 /* evaddw */, PPC::EVADDW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4903
  { 3445 /* evand */, PPC::EVAND, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4904
  { 3451 /* evandc */, PPC::EVANDC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4905
  { 3458 /* evcmpeq */, PPC::EVCMPEQ, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, },
4906
  { 3466 /* evcmpgts */, PPC::EVCMPGTS, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, },
4907
  { 3475 /* evcmpgtu */, PPC::EVCMPGTU, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, },
4908
  { 3484 /* evcmplts */, PPC::EVCMPLTS, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, },
4909
  { 3493 /* evcmpltu */, PPC::EVCMPLTU, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, },
4910
  { 3502 /* evcntlsw */, PPC::EVCNTLSW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4911
  { 3511 /* evcntlzw */, PPC::EVCNTLZW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4912
  { 3520 /* evdivws */, PPC::EVDIVWS, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4913
  { 3528 /* evdivwu */, PPC::EVDIVWU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4914
  { 3536 /* eveqv */, PPC::EVEQV, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4915
  { 3542 /* evextsb */, PPC::EVEXTSB, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4916
  { 3550 /* evextsh */, PPC::EVEXTSH, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4917
  { 3558 /* evldd */, PPC::EVLDD, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, },
4918
  { 3564 /* evlddx */, PPC::EVLDDX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4919
  { 3571 /* evldh */, PPC::EVLDH, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, },
4920
  { 3577 /* evldhx */, PPC::EVLDHX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4921
  { 3584 /* evldw */, PPC::EVLDW, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, },
4922
  { 3590 /* evldwx */, PPC::EVLDWX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4923
  { 3597 /* evlhhesplat */, PPC::EVLHHESPLAT, Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE2, MCK_RegGxRCNoR0 }, },
4924
  { 3609 /* evlhhesplatx */, PPC::EVLHHESPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4925
  { 3622 /* evlhhossplat */, PPC::EVLHHOSSPLAT, Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE2, MCK_RegGxRCNoR0 }, },
4926
  { 3635 /* evlhhossplatx */, PPC::EVLHHOSSPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4927
  { 3649 /* evlhhousplat */, PPC::EVLHHOUSPLAT, Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE2, MCK_RegGxRCNoR0 }, },
4928
  { 3662 /* evlhhousplatx */, PPC::EVLHHOUSPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4929
  { 3676 /* evlwhe */, PPC::EVLWHE, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, },
4930
  { 3683 /* evlwhex */, PPC::EVLWHEX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4931
  { 3691 /* evlwhos */, PPC::EVLWHOS, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, },
4932
  { 3699 /* evlwhosx */, PPC::EVLWHOSX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4933
  { 3708 /* evlwhou */, PPC::EVLWHOU, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, },
4934
  { 3716 /* evlwhoux */, PPC::EVLWHOUX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4935
  { 3725 /* evlwhsplat */, PPC::EVLWHSPLAT, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, },
4936
  { 3736 /* evlwhsplatx */, PPC::EVLWHSPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4937
  { 3748 /* evlwwsplat */, PPC::EVLWWSPLAT, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, },
4938
  { 3759 /* evlwwsplatx */, PPC::EVLWWSPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4939
  { 3771 /* evmergehi */, PPC::EVMERGEHI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4940
  { 3781 /* evmergehilo */, PPC::EVMERGEHILO, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4941
  { 3793 /* evmergelo */, PPC::EVMERGELO, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4942
  { 3803 /* evmergelohi */, PPC::EVMERGELOHI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4943
  { 3815 /* evmhegsmfaa */, PPC::EVMHEGSMFAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4944
  { 3827 /* evmhegsmfan */, PPC::EVMHEGSMFAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4945
  { 3839 /* evmhegsmiaa */, PPC::EVMHEGSMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4946
  { 3851 /* evmhegsmian */, PPC::EVMHEGSMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4947
  { 3863 /* evmhegumiaa */, PPC::EVMHEGUMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4948
  { 3875 /* evmhegumian */, PPC::EVMHEGUMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4949
  { 3887 /* evmhesmf */, PPC::EVMHESMF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4950
  { 3896 /* evmhesmfa */, PPC::EVMHESMFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4951
  { 3906 /* evmhesmfaaw */, PPC::EVMHESMFAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4952
  { 3918 /* evmhesmfanw */, PPC::EVMHESMFANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4953
  { 3930 /* evmhesmi */, PPC::EVMHESMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4954
  { 3939 /* evmhesmia */, PPC::EVMHESMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4955
  { 3949 /* evmhesmiaaw */, PPC::EVMHESMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4956
  { 3961 /* evmhesmianw */, PPC::EVMHESMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4957
  { 3973 /* evmhessf */, PPC::EVMHESSF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4958
  { 3982 /* evmhessfa */, PPC::EVMHESSFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4959
  { 3992 /* evmhessfaaw */, PPC::EVMHESSFAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4960
  { 4004 /* evmhessfanw */, PPC::EVMHESSFANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4961
  { 4016 /* evmhessiaaw */, PPC::EVMHESSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4962
  { 4028 /* evmhessianw */, PPC::EVMHESSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4963
  { 4040 /* evmheumi */, PPC::EVMHEUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4964
  { 4049 /* evmheumia */, PPC::EVMHEUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4965
  { 4059 /* evmheumiaaw */, PPC::EVMHEUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4966
  { 4071 /* evmheumianw */, PPC::EVMHEUMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4967
  { 4083 /* evmheusiaaw */, PPC::EVMHEUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4968
  { 4095 /* evmheusianw */, PPC::EVMHEUSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4969
  { 4107 /* evmhogsmfaa */, PPC::EVMHOGSMFAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4970
  { 4119 /* evmhogsmfan */, PPC::EVMHOGSMFAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4971
  { 4131 /* evmhogsmiaa */, PPC::EVMHOGSMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4972
  { 4143 /* evmhogsmian */, PPC::EVMHOGSMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4973
  { 4155 /* evmhogumiaa */, PPC::EVMHOGUMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4974
  { 4167 /* evmhogumian */, PPC::EVMHOGUMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4975
  { 4179 /* evmhosmf */, PPC::EVMHOSMF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4976
  { 4188 /* evmhosmfa */, PPC::EVMHOSMFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4977
  { 4198 /* evmhosmfaaw */, PPC::EVMHOSMFAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4978
  { 4210 /* evmhosmfanw */, PPC::EVMHOSMFANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4979
  { 4222 /* evmhosmi */, PPC::EVMHOSMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4980
  { 4231 /* evmhosmia */, PPC::EVMHOSMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4981
  { 4241 /* evmhosmiaaw */, PPC::EVMHOSMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4982
  { 4253 /* evmhosmianw */, PPC::EVMHOSMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4983
  { 4265 /* evmhossf */, PPC::EVMHOSSF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4984
  { 4274 /* evmhossfa */, PPC::EVMHOSSFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4985
  { 4284 /* evmhossfaaw */, PPC::EVMHOSSFAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4986
  { 4296 /* evmhossfanw */, PPC::EVMHOSSFANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4987
  { 4308 /* evmhossiaaw */, PPC::EVMHOSSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4988
  { 4320 /* evmhossianw */, PPC::EVMHOSSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4989
  { 4332 /* evmhoumi */, PPC::EVMHOUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4990
  { 4341 /* evmhoumia */, PPC::EVMHOUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4991
  { 4351 /* evmhoumiaaw */, PPC::EVMHOUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4992
  { 4363 /* evmhoumianw */, PPC::EVMHOUMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4993
  { 4375 /* evmhousiaaw */, PPC::EVMHOUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4994
  { 4387 /* evmhousianw */, PPC::EVMHOUSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4995
  { 4399 /* evmra */, PPC::EVMRA, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
4996
  { 4405 /* evmwhsmf */, PPC::EVMWHSMF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4997
  { 4414 /* evmwhsmfa */, PPC::EVMWHSMFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4998
  { 4424 /* evmwhsmi */, PPC::EVMWHSMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
4999
  { 4433 /* evmwhsmia */, PPC::EVMWHSMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5000
  { 4443 /* evmwhssf */, PPC::EVMWHSSF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5001
  { 4452 /* evmwhssfa */, PPC::EVMWHSSFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5002
  { 4462 /* evmwhumi */, PPC::EVMWHUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5003
  { 4471 /* evmwhumia */, PPC::EVMWHUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5004
  { 4481 /* evmwlsmiaaw */, PPC::EVMWLSMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5005
  { 4493 /* evmwlsmianw */, PPC::EVMWLSMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5006
  { 4505 /* evmwlssiaaw */, PPC::EVMWLSSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5007
  { 4517 /* evmwlssianw */, PPC::EVMWLSSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5008
  { 4529 /* evmwlumi */, PPC::EVMWLUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5009
  { 4538 /* evmwlumia */, PPC::EVMWLUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5010
  { 4548 /* evmwlumiaaw */, PPC::EVMWLUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5011
  { 4560 /* evmwlumianw */, PPC::EVMWLUMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5012
  { 4572 /* evmwlusiaaw */, PPC::EVMWLUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5013
  { 4584 /* evmwlusianw */, PPC::EVMWLUSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5014
  { 4596 /* evmwsmf */, PPC::EVMWSMF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5015
  { 4604 /* evmwsmfa */, PPC::EVMWSMFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5016
  { 4613 /* evmwsmfaa */, PPC::EVMWSMFAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5017
  { 4623 /* evmwsmfan */, PPC::EVMWSMFAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5018
  { 4633 /* evmwsmi */, PPC::EVMWSMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5019
  { 4641 /* evmwsmia */, PPC::EVMWSMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5020
  { 4650 /* evmwsmiaa */, PPC::EVMWSMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5021
  { 4660 /* evmwsmian */, PPC::EVMWSMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5022
  { 4670 /* evmwssf */, PPC::EVMWSSF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5023
  { 4678 /* evmwssfa */, PPC::EVMWSSFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5024
  { 4687 /* evmwssfaa */, PPC::EVMWSSFAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5025
  { 4697 /* evmwssfan */, PPC::EVMWSSFAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5026
  { 4707 /* evmwumi */, PPC::EVMWUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5027
  { 4715 /* evmwumia */, PPC::EVMWUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5028
  { 4724 /* evmwumiaa */, PPC::EVMWUMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5029
  { 4734 /* evmwumian */, PPC::EVMWUMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5030
  { 4744 /* evnand */, PPC::EVNAND, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5031
  { 4751 /* evneg */, PPC::EVNEG, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5032
  { 4757 /* evnor */, PPC::EVNOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5033
  { 4763 /* evor */, PPC::EVOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5034
  { 4768 /* evorc */, PPC::EVORC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5035
  { 4774 /* evrlw */, PPC::EVRLW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5036
  { 4780 /* evrlwi */, PPC::EVRLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5037
  { 4787 /* evrndw */, PPC::EVRNDW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5038
  { 4794 /* evslw */, PPC::EVSLW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5039
  { 4800 /* evslwi */, PPC::EVSLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5040
  { 4807 /* evsplatfi */, PPC::EVSPLATFI, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, },
5041
  { 4817 /* evsplati */, PPC::EVSPLATI, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, },
5042
  { 4826 /* evsrwis */, PPC::EVSRWIS, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5043
  { 4834 /* evsrwiu */, PPC::EVSRWIU, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5044
  { 4842 /* evsrws */, PPC::EVSRWS, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5045
  { 4849 /* evsrwu */, PPC::EVSRWU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5046
  { 4856 /* evstdd */, PPC::EVSTDD, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, },
5047
  { 4863 /* evstddx */, PPC::EVSTDDX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5048
  { 4871 /* evstdh */, PPC::EVSTDH, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, },
5049
  { 4878 /* evstdhx */, PPC::EVSTDHX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5050
  { 4886 /* evstdw */, PPC::EVSTDW, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, },
5051
  { 4893 /* evstdwx */, PPC::EVSTDWX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5052
  { 4901 /* evstwhe */, PPC::EVSTWHE, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, },
5053
  { 4909 /* evstwhex */, PPC::EVSTWHEX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5054
  { 4918 /* evstwho */, PPC::EVSTWHO, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, },
5055
  { 4926 /* evstwhox */, PPC::EVSTWHOX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5056
  { 4935 /* evstwwe */, PPC::EVSTWWE, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, },
5057
  { 4943 /* evstwwex */, PPC::EVSTWWEX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5058
  { 4952 /* evstwwo */, PPC::EVSTWWO, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, },
5059
  { 4960 /* evstwwox */, PPC::EVSTWWOX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5060
  { 4969 /* evsubfsmiaaw */, PPC::EVSUBFSMIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5061
  { 4982 /* evsubfssiaaw */, PPC::EVSUBFSSIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5062
  { 4995 /* evsubfumiaaw */, PPC::EVSUBFUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5063
  { 5008 /* evsubfusiaaw */, PPC::EVSUBFUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5064
  { 5021 /* evsubfw */, PPC::EVSUBFW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5065
  { 5029 /* evsubifw */, PPC::EVSUBIFW, Convert__RegGPRC1_0__U5Imm1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_U5Imm, MCK_RegGPRC }, },
5066
  { 5038 /* evxor */, PPC::EVXOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5067
  { 5044 /* extldi */, PPC::EXTLDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5068
  { 5044 /* extldi */, PPC::EXTLDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5069
  { 5051 /* extlwi */, PPC::EXTLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5070
  { 5051 /* extlwi */, PPC::EXTLWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5071
  { 5058 /* extrdi */, PPC::EXTRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5072
  { 5058 /* extrdi */, PPC::EXTRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5073
  { 5065 /* extrwi */, PPC::EXTRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5074
  { 5065 /* extrwi */, PPC::EXTRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5075
  { 5072 /* extsb */, PPC::EXTSB, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5076
  { 5072 /* extsb */, PPC::EXTSBo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
5077
  { 5078 /* extsh */, PPC::EXTSH, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5078
  { 5078 /* extsh */, PPC::EXTSHo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
5079
  { 5084 /* extsw */, PPC::EXTSW, Convert__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5080
  { 5084 /* extsw */, PPC::EXTSWo, Convert__RegG8RC1_1__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC }, },
5081
  { 5090 /* extswsli */, PPC::EXTSWSLI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5082
  { 5090 /* extswsli */, PPC::EXTSWSLIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5083
  { 5099 /* fabs */, PPC::FABSS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5084
  { 5099 /* fabs */, PPC::FABSSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5085
  { 5104 /* fadd */, PPC::FADD, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5086
  { 5104 /* fadd */, PPC::FADDo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5087
  { 5109 /* fadds */, PPC::FADDS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5088
  { 5109 /* fadds */, PPC::FADDSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5089
  { 5115 /* fcfid */, PPC::FCFID, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5090
  { 5115 /* fcfid */, PPC::FCFIDo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5091
  { 5121 /* fcfids */, PPC::FCFIDS, Convert__RegF4RC1_0__RegF8RC1_1, 0, { MCK_RegF4RC, MCK_RegF8RC }, },
5092
  { 5121 /* fcfids */, PPC::FCFIDSo, Convert__RegF4RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF8RC }, },
5093
  { 5128 /* fcfidu */, PPC::FCFIDU, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5094
  { 5128 /* fcfidu */, PPC::FCFIDUo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5095
  { 5135 /* fcfidus */, PPC::FCFIDUS, Convert__RegF4RC1_0__RegF8RC1_1, 0, { MCK_RegF4RC, MCK_RegF8RC }, },
5096
  { 5135 /* fcfidus */, PPC::FCFIDUSo, Convert__RegF4RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF8RC }, },
5097
  { 5143 /* fcmpu */, PPC::FCMPUS, Convert__RegCRRC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegCRRC, MCK_RegF4RC, MCK_RegF4RC }, },
5098
  { 5149 /* fcpsgn */, PPC::FCPSGNS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5099
  { 5149 /* fcpsgn */, PPC::FCPSGNSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5100
  { 5156 /* fctid */, PPC::FCTID, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5101
  { 5156 /* fctid */, PPC::FCTIDo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5102
  { 5162 /* fctidu */, PPC::FCTIDU, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5103
  { 5162 /* fctidu */, PPC::FCTIDUo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5104
  { 5169 /* fctiduz */, PPC::FCTIDUZ, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5105
  { 5169 /* fctiduz */, PPC::FCTIDUZo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5106
  { 5177 /* fctidz */, PPC::FCTIDZ, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5107
  { 5177 /* fctidz */, PPC::FCTIDZo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5108
  { 5184 /* fctiw */, PPC::FCTIW, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5109
  { 5184 /* fctiw */, PPC::FCTIWo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5110
  { 5190 /* fctiwu */, PPC::FCTIWU, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5111
  { 5190 /* fctiwu */, PPC::FCTIWUo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5112
  { 5197 /* fctiwuz */, PPC::FCTIWUZ, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5113
  { 5197 /* fctiwuz */, PPC::FCTIWUZo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5114
  { 5205 /* fctiwz */, PPC::FCTIWZ, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5115
  { 5205 /* fctiwz */, PPC::FCTIWZo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5116
  { 5212 /* fdiv */, PPC::FDIV, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5117
  { 5212 /* fdiv */, PPC::FDIVo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5118
  { 5217 /* fdivs */, PPC::FDIVS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5119
  { 5217 /* fdivs */, PPC::FDIVSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5120
  { 5223 /* fmadd */, PPC::FMADD, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5121
  { 5223 /* fmadd */, PPC::FMADDo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5122
  { 5229 /* fmadds */, PPC::FMADDS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5123
  { 5229 /* fmadds */, PPC::FMADDSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5124
  { 5236 /* fmr */, PPC::FMR, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5125
  { 5236 /* fmr */, PPC::FMRo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5126
  { 5240 /* fmsub */, PPC::FMSUB, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5127
  { 5240 /* fmsub */, PPC::FMSUBo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5128
  { 5246 /* fmsubs */, PPC::FMSUBS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5129
  { 5246 /* fmsubs */, PPC::FMSUBSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5130
  { 5253 /* fmul */, PPC::FMUL, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5131
  { 5253 /* fmul */, PPC::FMULo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5132
  { 5258 /* fmuls */, PPC::FMULS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5133
  { 5258 /* fmuls */, PPC::FMULSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5134
  { 5264 /* fnabs */, PPC::FNABSS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5135
  { 5264 /* fnabs */, PPC::FNABSSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5136
  { 5270 /* fneg */, PPC::FNEGS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5137
  { 5270 /* fneg */, PPC::FNEGSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5138
  { 5275 /* fnmadd */, PPC::FNMADD, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5139
  { 5275 /* fnmadd */, PPC::FNMADDo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5140
  { 5282 /* fnmadds */, PPC::FNMADDS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5141
  { 5282 /* fnmadds */, PPC::FNMADDSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5142
  { 5290 /* fnmsub */, PPC::FNMSUB, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5143
  { 5290 /* fnmsub */, PPC::FNMSUBo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5144
  { 5297 /* fnmsubs */, PPC::FNMSUBS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5145
  { 5297 /* fnmsubs */, PPC::FNMSUBSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5146
  { 5305 /* fre */, PPC::FRE, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5147
  { 5305 /* fre */, PPC::FREo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5148
  { 5309 /* fres */, PPC::FRES, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5149
  { 5309 /* fres */, PPC::FRESo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5150
  { 5314 /* frim */, PPC::FRIMS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5151
  { 5314 /* frim */, PPC::FRIMSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5152
  { 5319 /* frin */, PPC::FRINS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5153
  { 5319 /* frin */, PPC::FRINSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5154
  { 5324 /* frip */, PPC::FRIPS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5155
  { 5324 /* frip */, PPC::FRIPSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5156
  { 5329 /* friz */, PPC::FRIZS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5157
  { 5329 /* friz */, PPC::FRIZSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5158
  { 5334 /* frsp */, PPC::FRSP, Convert__RegF4RC1_0__RegF8RC1_1, 0, { MCK_RegF4RC, MCK_RegF8RC }, },
5159
  { 5334 /* frsp */, PPC::FRSPo, Convert__RegF4RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF8RC }, },
5160
  { 5339 /* frsqrte */, PPC::FRSQRTE, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5161
  { 5339 /* frsqrte */, PPC::FRSQRTEo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5162
  { 5347 /* frsqrtes */, PPC::FRSQRTES, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5163
  { 5347 /* frsqrtes */, PPC::FRSQRTESo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5164
  { 5356 /* fsel */, PPC::FSELS, Convert__RegF4RC1_0__RegF8RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF8RC, MCK_RegF4RC, MCK_RegF4RC }, },
5165
  { 5356 /* fsel */, PPC::FSELSo, Convert__RegF4RC1_1__RegF8RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF8RC, MCK_RegF4RC, MCK_RegF4RC }, },
5166
  { 5361 /* fsqrt */, PPC::FSQRT, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5167
  { 5361 /* fsqrt */, PPC::FSQRTo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, },
5168
  { 5367 /* fsqrts */, PPC::FSQRTS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, },
5169
  { 5367 /* fsqrts */, PPC::FSQRTSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, },
5170
  { 5374 /* fsub */, PPC::FSUB, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5171
  { 5374 /* fsub */, PPC::FSUBo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, },
5172
  { 5379 /* fsubs */, PPC::FSUBS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5173
  { 5379 /* fsubs */, PPC::FSUBSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, },
5174
  { 5385 /* ftdiv */, PPC::FTDIV, Convert__RegCRRC1_0__RegF8RC1_1__RegF8RC1_2, 0, { MCK_RegCRRC, MCK_RegF8RC, MCK_RegF8RC }, },
5175
  { 5391 /* ftsqrt */, PPC::FTSQRT, Convert__RegCRRC1_0__RegF8RC1_1, 0, { MCK_RegCRRC, MCK_RegF8RC }, },
5176
  { 5398 /* hrfid */, PPC::HRFID, Convert_NoOperands, 0, {  }, },
5177
  { 5404 /* icbi */, PPC::ICBI, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5178
  { 5409 /* icblc */, PPC::ICBLC, Convert__U4Imm1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_U4Imm, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5179
  { 5415 /* icblq */, PPC::ICBLQ, Convert__U4Imm1_1__RegGxRCNoR01_2__RegGxRC1_3, 0, { MCK__DOT_, MCK_U4Imm, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5180
  { 5421 /* icbt */, PPC::ICBT, Convert__U4Imm1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_U4Imm, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5181
  { 5426 /* icbtls */, PPC::ICBTLS, Convert__U4Imm1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_U4Imm, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5182
  { 5433 /* iccci */, PPC::ICCCI, Convert__regR0__regR0, 0, {  }, },
5183
  { 5433 /* iccci */, PPC::ICCCI, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5184
  { 5439 /* ici */, PPC::ICCCI, Convert__regR0__regR0, 0, { MCK_0 }, },
5185
  { 5443 /* inslwi */, PPC::INSLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5186
  { 5443 /* inslwi */, PPC::INSLWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5187
  { 5450 /* insrdi */, PPC::INSRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5188
  { 5450 /* insrdi */, PPC::INSRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5189
  { 5457 /* insrwi */, PPC::INSRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5190
  { 5457 /* insrwi */, PPC::INSRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5191
  { 5464 /* isel */, PPC::ISEL, Convert__RegGPRC1_0__RegGPRCNoR01_1__RegGPRC1_2__RegCRBITRC1_3, 0, { MCK_RegGPRC, MCK_RegGPRCNoR0, MCK_RegGPRC, MCK_RegCRBITRC }, },
5192
  { 5469 /* isync */, PPC::ISYNC, Convert_NoOperands, 0, {  }, },
5193
  { 5475 /* la */, PPC::LAx, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5194
  { 5478 /* lbarx */, PPC::LBARX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5195
  { 5478 /* lbarx */, PPC::LBARXL, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_1 }, },
5196
  { 5484 /* lbz */, PPC::LBZ, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5197
  { 5488 /* lbzcix */, PPC::LBZCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5198
  { 5495 /* lbzu */, PPC::LBZU, Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5199
  { 5500 /* lbzux */, PPC::LBZUX, Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5200
  { 5506 /* lbzx */, PPC::LBZX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5201
  { 5511 /* ld */, PPC::LD, Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, },
5202
  { 5514 /* ldarx */, PPC::LDARX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5203
  { 5514 /* ldarx */, PPC::LDARXL, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_1 }, },
5204
  { 5520 /* ldat */, PPC::LDAT, Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm }, },
5205
  { 5525 /* ldbrx */, PPC::LDBRX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5206
  { 5531 /* ldcix */, PPC::LDCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5207
  { 5537 /* ldmx */, PPC::LDMX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5208
  { 5542 /* ldu */, PPC::LDU, Convert__RegG8RC1_0__imm_95_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, },
5209
  { 5546 /* ldux */, PPC::LDUX, Convert__RegG8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5210
  { 5551 /* ldx */, PPC::LDX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5211
  { 5555 /* lfd */, PPC::LFD, Convert__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF8RC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5212
  { 5559 /* lfdu */, PPC::LFDU, Convert__RegF8RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF8RC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5213
  { 5564 /* lfdux */, PPC::LFDUX, Convert__RegF8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5214
  { 5570 /* lfdx */, PPC::LFDX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5215
  { 5575 /* lfiwax */, PPC::LFIWAX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5216
  { 5582 /* lfiwzx */, PPC::LFIWZX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5217
  { 5589 /* lfs */, PPC::LFS, Convert__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF4RC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5218
  { 5593 /* lfsu */, PPC::LFSU, Convert__RegF4RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF4RC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5219
  { 5598 /* lfsux */, PPC::LFSUX, Convert__RegF4RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF4RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5220
  { 5604 /* lfsx */, PPC::LFSX, Convert__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF4RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5221
  { 5609 /* lha */, PPC::LHA, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5222
  { 5613 /* lharx */, PPC::LHARX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5223
  { 5613 /* lharx */, PPC::LHARXL, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_1 }, },
5224
  { 5619 /* lhau */, PPC::LHAU, Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5225
  { 5624 /* lhaux */, PPC::LHAUX, Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5226
  { 5630 /* lhax */, PPC::LHAX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5227
  { 5635 /* lhbrx */, PPC::LHBRX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5228
  { 5641 /* lhz */, PPC::LHZ, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5229
  { 5645 /* lhzcix */, PPC::LHZCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5230
  { 5652 /* lhzu */, PPC::LHZU, Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5231
  { 5657 /* lhzux */, PPC::LHZUX, Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5232
  { 5663 /* lhzx */, PPC::LHZX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5233
  { 5668 /* li */, PPC::LI, Convert__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5234
  { 5671 /* lis */, PPC::LIS, Convert__RegGPRC1_0__S17Imm1_1, 0, { MCK_RegGPRC, MCK_S17Imm }, },
5235
  { 5675 /* lmw */, PPC::LMW, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5236
  { 5679 /* lnia */, PPC::ADDPCIS, Convert__RegG8RC1_0__imm_95_0, 0, { MCK_RegG8RC }, },
5237
  { 5684 /* lswi */, PPC::LSWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5238
  { 5689 /* lvebx */, PPC::LVEBX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5239
  { 5695 /* lvehx */, PPC::LVEHX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5240
  { 5701 /* lvewx */, PPC::LVEWX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5241
  { 5707 /* lvsl */, PPC::LVSL, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5242
  { 5712 /* lvsr */, PPC::LVSR, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5243
  { 5717 /* lvx */, PPC::LVX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5244
  { 5721 /* lvxl */, PPC::LVXL, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5245
  { 5726 /* lwa */, PPC::LWA, Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, },
5246
  { 5730 /* lwarx */, PPC::LWARX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5247
  { 5730 /* lwarx */, PPC::LWARXL, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_1 }, },
5248
  { 5736 /* lwat */, PPC::LWAT, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5249
  { 5741 /* lwaux */, PPC::LWAUX, Convert__RegG8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5250
  { 5747 /* lwax */, PPC::LWAX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5251
  { 5752 /* lwbrx */, PPC::LWBRX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5252
  { 5758 /* lwsync */, PPC::SYNC, Convert__imm_95_1, 0, {  }, },
5253
  { 5765 /* lwz */, PPC::LWZ, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5254
  { 5769 /* lwzcix */, PPC::LWZCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5255
  { 5776 /* lwzu */, PPC::LWZU, Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5256
  { 5781 /* lwzux */, PPC::LWZUX, Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5257
  { 5787 /* lwzx */, PPC::LWZX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5258
  { 5792 /* lxsd */, PPC::LXSD, Convert__RegVFRC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegVFRC, MCK_DispRIX, MCK_RegGxRCNoR0 }, },
5259
  { 5797 /* lxsdx */, PPC::LXSDX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5260
  { 5803 /* lxsibzx */, PPC::LXSIBZX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5261
  { 5811 /* lxsihzx */, PPC::LXSIHZX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5262
  { 5819 /* lxsiwax */, PPC::LXSIWAX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5263
  { 5827 /* lxsiwzx */, PPC::LXSIWZX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5264
  { 5835 /* lxssp */, PPC::LXSSP, Convert__RegVFRC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegVFRC, MCK_DispRIX, MCK_RegGxRCNoR0 }, },
5265
  { 5841 /* lxsspx */, PPC::LXSSPX, Convert__RegVSSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5266
  { 5848 /* lxv */, PPC::LXV, Convert__RegVSRC1_0__DispRIX161_1__RegGxRCNoR01_2, 0, { MCK_RegVSRC, MCK_DispRIX16, MCK_RegGxRCNoR0 }, },
5267
  { 5852 /* lxvb16x */, PPC::LXVB16X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5268
  { 5860 /* lxvd2x */, PPC::LXVD2X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5269
  { 5867 /* lxvdsx */, PPC::LXVDSX, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5270
  { 5874 /* lxvh8x */, PPC::LXVH8X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5271
  { 5881 /* lxvl */, PPC::LXVL, Convert__RegVSRC1_0__Imm1_1__RegG8RC1_2, 0, { MCK_RegVSRC, MCK_Imm, MCK_RegG8RC }, },
5272
  { 5886 /* lxvll */, PPC::LXVLL, Convert__RegVSRC1_0__Imm1_1__RegG8RC1_2, 0, { MCK_RegVSRC, MCK_Imm, MCK_RegG8RC }, },
5273
  { 5892 /* lxvw4x */, PPC::LXVW4X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5274
  { 5899 /* lxvwsx */, PPC::LXVWSX, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5275
  { 5906 /* lxvx */, PPC::LXVX, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5276
  { 5911 /* maddhd */, PPC::MADDHD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5277
  { 5918 /* maddhdu */, PPC::MADDHDU, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5278
  { 5926 /* maddld */, PPC::MADDLD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5279
  { 5933 /* mbar */, PPC::MBAR, Convert__imm_95_0, 0, {  }, },
5280
  { 5933 /* mbar */, PPC::MBAR, Convert__U5Imm1_0, 0, { MCK_U5Imm }, },
5281
  { 5938 /* mcrf */, PPC::MCRF, Convert__RegCRRC1_0__RegCRRC1_1, 0, { MCK_RegCRRC, MCK_RegCRRC }, },
5282
  { 5943 /* mcrfs */, PPC::MCRFS, Convert__RegCRRC1_0__RegCRRC1_1, 0, { MCK_RegCRRC, MCK_RegCRRC }, },
5283
  { 5949 /* mcrxrx */, PPC::MCRXRX, Convert__RegCRRC1_0, 0, { MCK_RegCRRC }, },
5284
  { 5956 /* mfamr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_29, 0, { MCK_RegGPRC }, },
5285
  { 5962 /* mfasr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_280, 0, { MCK_RegGPRC }, },
5286
  { 5968 /* mfbhrbe */, PPC::MFBHRBE, Convert__RegGPRC1_0__U10Imm1_1__imm_95_0, 0, { MCK_RegGPRC, MCK_U10Imm }, },
5287
  { 5976 /* mfbr0 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_128, 0, { MCK_RegGPRC }, },
5288
  { 5982 /* mfbr1 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_129, 0, { MCK_RegGPRC }, },
5289
  { 5988 /* mfbr2 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_130, 0, { MCK_RegGPRC }, },
5290
  { 5994 /* mfbr3 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_131, 0, { MCK_RegGPRC }, },
5291
  { 6000 /* mfbr4 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_132, 0, { MCK_RegGPRC }, },
5292
  { 6006 /* mfbr5 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_133, 0, { MCK_RegGPRC }, },
5293
  { 6012 /* mfbr6 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_134, 0, { MCK_RegGPRC }, },
5294
  { 6018 /* mfbr7 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_135, 0, { MCK_RegGPRC }, },
5295
  { 6024 /* mfcfar */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_28, 0, { MCK_RegGPRC }, },
5296
  { 6031 /* mfcr */, PPC::MFCR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5297
  { 6036 /* mfctr */, PPC::MFCTR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5298
  { 6042 /* mfdar */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_19, 0, { MCK_RegGPRC }, },
5299
  { 6048 /* mfdbatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_537, 0, { MCK_RegGPRC, MCK_0 }, },
5300
  { 6048 /* mfdbatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_539, 0, { MCK_RegGPRC, MCK_1 }, },
5301
  { 6048 /* mfdbatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_541, 0, { MCK_RegGPRC, MCK_2 }, },
5302
  { 6048 /* mfdbatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_543, 0, { MCK_RegGPRC, MCK_3 }, },
5303
  { 6056 /* mfdbatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_536, 0, { MCK_RegGPRC, MCK_0 }, },
5304
  { 6056 /* mfdbatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_538, 0, { MCK_RegGPRC, MCK_1 }, },
5305
  { 6056 /* mfdbatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_540, 0, { MCK_RegGPRC, MCK_2 }, },
5306
  { 6056 /* mfdbatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_542, 0, { MCK_RegGPRC, MCK_3 }, },
5307
  { 6064 /* mfdccr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_1018, 0, { MCK_RegGPRC }, },
5308
  { 6071 /* mfdcr */, PPC::MFDCR, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, },
5309
  { 6077 /* mfdear */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_981, 0, { MCK_RegGPRC }, },
5310
  { 6084 /* mfdec */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_22, 0, { MCK_RegGPRC }, },
5311
  { 6084 /* mfdec */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_22, 0, { MCK_RegGPRC }, },
5312
  { 6090 /* mfdscr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_17, 0, { MCK_RegGPRC }, },
5313
  { 6097 /* mfdsisr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_18, 0, { MCK_RegGPRC }, },
5314
  { 6105 /* mfesr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_980, 0, { MCK_RegGPRC }, },
5315
  { 6111 /* mffprd */, PPC::MFVSRD, Convert__RegG8RC1_0__RegF8RC1_1, 0, { MCK_RegG8RC, MCK_RegF8RC }, },
5316
  { 6118 /* mffs */, PPC::MFFS, Convert__RegF8RC1_0, 0, { MCK_RegF8RC }, },
5317
  { 6118 /* mffs */, PPC::MFFSo, Convert__RegF8RC1_1, 0, { MCK__DOT_, MCK_RegF8RC }, },
5318
  { 6123 /* mffscdrn */, PPC::MFFSCDRN, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5319
  { 6132 /* mffscdrni */, PPC::MFFSCDRNI, Convert__RegF8RC1_0__U3Imm1_1, 0, { MCK_RegF8RC, MCK_U3Imm }, },
5320
  { 6142 /* mffsce */, PPC::MFFSCE, Convert__RegF8RC1_0, 0, { MCK_RegF8RC }, },
5321
  { 6149 /* mffscrn */, PPC::MFFSCRN, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, },
5322
  { 6157 /* mffscrni */, PPC::MFFSCRNI, Convert__RegF8RC1_0__U2Imm1_1, 0, { MCK_RegF8RC, MCK_U2Imm }, },
5323
  { 6166 /* mffsl */, PPC::MFFSL, Convert__RegF8RC1_0, 0, { MCK_RegF8RC }, },
5324
  { 6172 /* mfibatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_529, 0, { MCK_RegGPRC, MCK_0 }, },
5325
  { 6172 /* mfibatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_531, 0, { MCK_RegGPRC, MCK_1 }, },
5326
  { 6172 /* mfibatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_533, 0, { MCK_RegGPRC, MCK_2 }, },
5327
  { 6172 /* mfibatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_535, 0, { MCK_RegGPRC, MCK_3 }, },
5328
  { 6180 /* mfibatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_528, 0, { MCK_RegGPRC, MCK_0 }, },
5329
  { 6180 /* mfibatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_530, 0, { MCK_RegGPRC, MCK_1 }, },
5330
  { 6180 /* mfibatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_532, 0, { MCK_RegGPRC, MCK_2 }, },
5331
  { 6180 /* mfibatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_534, 0, { MCK_RegGPRC, MCK_3 }, },
5332
  { 6188 /* mficcr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_1019, 0, { MCK_RegGPRC }, },
5333
  { 6195 /* mflr */, PPC::MFLR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5334
  { 6200 /* mfmsr */, PPC::MFMSR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5335
  { 6206 /* mfocrf */, PPC::MFOCRF, Convert__RegGPRC1_0__CRBitMask1_1, 0, { MCK_RegGPRC, MCK_CRBitMask }, },
5336
  { 6213 /* mfpid */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_48, 0, { MCK_RegGPRC }, },
5337
  { 6219 /* mfpmr */, PPC::MFPMR, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, },
5338
  { 6225 /* mfpvr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_287, 0, { MCK_RegGPRC }, },
5339
  { 6231 /* mfrtcl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_5, 0, { MCK_RegGPRC }, },
5340
  { 6238 /* mfrtcu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_4, 0, { MCK_RegGPRC }, },
5341
  { 6245 /* mfsdr1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_25, 0, { MCK_RegGPRC }, },
5342
  { 6245 /* mfsdr1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_25, 0, { MCK_RegGPRC }, },
5343
  { 6252 /* mfspefscr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_512, 0, { MCK_RegGPRC }, },
5344
  { 6262 /* mfspr */, PPC::MFSPR, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, },
5345
  { 6268 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_272, 0, { MCK_RegGPRC, MCK_0 }, },
5346
  { 6268 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_273, 0, { MCK_RegGPRC, MCK_1 }, },
5347
  { 6268 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_274, 0, { MCK_RegGPRC, MCK_2 }, },
5348
  { 6268 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_275, 0, { MCK_RegGPRC, MCK_3 }, },
5349
  { 6268 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_260, 0, { MCK_RegGPRC, MCK_4 }, },
5350
  { 6268 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_261, 0, { MCK_RegGPRC, MCK_5 }, },
5351
  { 6268 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_262, 0, { MCK_RegGPRC, MCK_6 }, },
5352
  { 6268 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_263, 0, { MCK_RegGPRC, MCK_7 }, },
5353
  { 6275 /* mfsprg0 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_272, 0, { MCK_RegGPRC }, },
5354
  { 6283 /* mfsprg1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_273, 0, { MCK_RegGPRC }, },
5355
  { 6291 /* mfsprg2 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_274, 0, { MCK_RegGPRC }, },
5356
  { 6299 /* mfsprg3 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_275, 0, { MCK_RegGPRC }, },
5357
  { 6307 /* mfsprg4 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_260, 0, { MCK_RegGPRC }, },
5358
  { 6315 /* mfsprg5 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_261, 0, { MCK_RegGPRC }, },
5359
  { 6323 /* mfsprg6 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_262, 0, { MCK_RegGPRC }, },
5360
  { 6331 /* mfsprg7 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_263, 0, { MCK_RegGPRC }, },
5361
  { 6339 /* mfsr */, PPC::MFSR, Convert__RegGPRC1_0__U4Imm1_1, 0, { MCK_RegGPRC, MCK_U4Imm }, },
5362
  { 6344 /* mfsrin */, PPC::MFSRIN, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5363
  { 6351 /* mfsrr0 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_26, 0, { MCK_RegGPRC }, },
5364
  { 6351 /* mfsrr0 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_26, 0, { MCK_RegGPRC }, },
5365
  { 6358 /* mfsrr1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_27, 0, { MCK_RegGPRC }, },
5366
  { 6358 /* mfsrr1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_27, 0, { MCK_RegGPRC }, },
5367
  { 6365 /* mfsrr2 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_990, 0, { MCK_RegGPRC }, },
5368
  { 6372 /* mfsrr3 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_991, 0, { MCK_RegGPRC }, },
5369
  { 6379 /* mftb */, PPC::MFTB, Convert__RegGPRC1_0__imm_95_268, 0, { MCK_RegGPRC }, },
5370
  { 6379 /* mftb */, PPC::MFTB, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, },
5371
  { 6384 /* mftbhi */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_988, 0, { MCK_RegGPRC }, },
5372
  { 6391 /* mftbl */, PPC::MFTB, Convert__RegGPRC1_0__imm_95_268, 0, { MCK_RegGPRC }, },
5373
  { 6397 /* mftblo */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_989, 0, { MCK_RegGPRC }, },
5374
  { 6404 /* mftbu */, PPC::MFTB, Convert__RegGPRC1_0__imm_95_269, 0, { MCK_RegGPRC }, },
5375
  { 6410 /* mftcr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_986, 0, { MCK_RegGPRC }, },
5376
  { 6416 /* mfvrd */, PPC::MFVRD, Convert__RegG8RC1_0__RegVRRC1_1, 0, { MCK_RegG8RC, MCK_RegVRRC }, },
5377
  { 6422 /* mfvrsave */, PPC::MFVRSAVE, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5378
  { 6431 /* mfvscr */, PPC::MFVSCR, Convert__RegVRRC1_0, 0, { MCK_RegVRRC }, },
5379
  { 6438 /* mfvsrd */, PPC::MFVSRD, Convert__RegG8RC1_0__RegVSFRC1_1, 0, { MCK_RegG8RC, MCK_RegVSFRC }, },
5380
  { 6445 /* mfvsrld */, PPC::MFVSRLD, Convert__RegG8RC1_0__RegVSRC1_1, 0, { MCK_RegG8RC, MCK_RegVSRC }, },
5381
  { 6453 /* mfvsrwz */, PPC::MFVSRWZ, Convert__RegGPRC1_0__RegVSFRC1_1, 0, { MCK_RegGPRC, MCK_RegVSFRC }, },
5382
  { 6461 /* mfxer */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_1, 0, { MCK_RegGPRC }, },
5383
  { 6467 /* modsd */, PPC::MODSD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5384
  { 6473 /* modsw */, PPC::MODSW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5385
  { 6479 /* modud */, PPC::MODUD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5386
  { 6485 /* moduw */, PPC::MODUW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5387
  { 6491 /* mr */, PPC::OR8, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5388
  { 6491 /* mr */, PPC::OR8o, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC }, },
5389
  { 6494 /* msgsync */, PPC::MSGSYNC, Convert_NoOperands, 0, {  }, },
5390
  { 6502 /* msync */, PPC::SYNC, Convert__imm_95_0, 0, {  }, },
5391
  { 6508 /* mtamr */, PPC::MTSPR, Convert__imm_95_29__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5392
  { 6514 /* mtasr */, PPC::MTSPR, Convert__imm_95_280__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5393
  { 6514 /* mtasr */, PPC::MTSPR, Convert__imm_95_280__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5394
  { 6520 /* mtbr0 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_128, 0, { MCK_RegGPRC }, },
5395
  { 6526 /* mtbr1 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_129, 0, { MCK_RegGPRC }, },
5396
  { 6532 /* mtbr2 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_130, 0, { MCK_RegGPRC }, },
5397
  { 6538 /* mtbr3 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_131, 0, { MCK_RegGPRC }, },
5398
  { 6544 /* mtbr4 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_132, 0, { MCK_RegGPRC }, },
5399
  { 6550 /* mtbr5 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_133, 0, { MCK_RegGPRC }, },
5400
  { 6556 /* mtbr6 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_134, 0, { MCK_RegGPRC }, },
5401
  { 6562 /* mtbr7 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_135, 0, { MCK_RegGPRC }, },
5402
  { 6568 /* mtcfar */, PPC::MTSPR, Convert__imm_95_28__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5403
  { 6575 /* mtcr */, PPC::MTCRF8, Convert__imm_95_255__RegG8RC1_0, 0, { MCK_RegG8RC }, },
5404
  { 6580 /* mtcrf */, PPC::MTCRF, Convert__Imm1_0__RegGPRC1_1, 0, { MCK_Imm, MCK_RegGPRC }, },
5405
  { 6586 /* mtctr */, PPC::MTCTR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5406
  { 6592 /* mtdar */, PPC::MTSPR, Convert__imm_95_19__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5407
  { 6598 /* mtdbatl */, PPC::MTSPR, Convert__imm_95_537__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, },
5408
  { 6598 /* mtdbatl */, PPC::MTSPR, Convert__imm_95_539__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, },
5409
  { 6598 /* mtdbatl */, PPC::MTSPR, Convert__imm_95_541__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, },
5410
  { 6598 /* mtdbatl */, PPC::MTSPR, Convert__imm_95_543__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, },
5411
  { 6606 /* mtdbatu */, PPC::MTSPR, Convert__imm_95_536__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, },
5412
  { 6606 /* mtdbatu */, PPC::MTSPR, Convert__imm_95_538__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, },
5413
  { 6606 /* mtdbatu */, PPC::MTSPR, Convert__imm_95_540__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, },
5414
  { 6606 /* mtdbatu */, PPC::MTSPR, Convert__imm_95_542__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, },
5415
  { 6614 /* mtdccr */, PPC::MTSPR, Convert__imm_95_1018__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5416
  { 6621 /* mtdcr */, PPC::MTDCR, Convert__RegGPRC1_1__Imm1_0, 0, { MCK_Imm, MCK_RegGPRC }, },
5417
  { 6627 /* mtdear */, PPC::MTSPR, Convert__imm_95_981__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5418
  { 6634 /* mtdec */, PPC::MTSPR, Convert__imm_95_22__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5419
  { 6634 /* mtdec */, PPC::MTSPR, Convert__imm_95_22__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5420
  { 6640 /* mtdscr */, PPC::MTSPR, Convert__imm_95_17__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5421
  { 6647 /* mtdsisr */, PPC::MTSPR, Convert__imm_95_18__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5422
  { 6655 /* mtesr */, PPC::MTSPR, Convert__imm_95_980__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5423
  { 6661 /* mtfsb0 */, PPC::MTFSB0, Convert__U5Imm1_0, 0, { MCK_U5Imm }, },
5424
  { 6668 /* mtfsb1 */, PPC::MTFSB1, Convert__U5Imm1_0, 0, { MCK_U5Imm }, },
5425
  { 6675 /* mtfsf */, PPC::MTFSF, Convert__Imm1_0__RegF8RC1_1__imm_95_0__imm_95_0, 0, { MCK_Imm, MCK_RegF8RC }, },
5426
  { 6675 /* mtfsf */, PPC::MTFSFo, Convert__Imm1_1__RegF8RC1_2__imm_95_0__imm_95_0, 0, { MCK__DOT_, MCK_Imm, MCK_RegF8RC }, },
5427
  { 6675 /* mtfsf */, PPC::MTFSF, Convert__Imm1_0__RegF8RC1_1__Imm1_2__Imm1_3, 0, { MCK_Imm, MCK_RegF8RC, MCK_Imm, MCK_Imm }, },
5428
  { 6675 /* mtfsf */, PPC::MTFSFo, Convert__Imm1_1__RegF8RC1_2__Imm1_3__Imm1_4, 0, { MCK__DOT_, MCK_Imm, MCK_RegF8RC, MCK_Imm, MCK_Imm }, },
5429
  { 6681 /* mtfsfi */, PPC::MTFSFI, Convert__RegCRRC1_0__Imm1_1__imm_95_0, 0, { MCK_RegCRRC, MCK_Imm }, },
5430
  { 6681 /* mtfsfi */, PPC::MTFSFIo, Convert__RegCRRC1_1__Imm1_2__imm_95_0, 0, { MCK__DOT_, MCK_RegCRRC, MCK_Imm }, },
5431
  { 6681 /* mtfsfi */, PPC::MTFSFI, Convert__RegCRRC1_0__Imm1_1__Imm1_2, 0, { MCK_RegCRRC, MCK_Imm, MCK_Imm }, },
5432
  { 6681 /* mtfsfi */, PPC::MTFSFIo, Convert__RegCRRC1_1__Imm1_2__Imm1_3, 0, { MCK__DOT_, MCK_RegCRRC, MCK_Imm, MCK_Imm }, },
5433
  { 6688 /* mtibatl */, PPC::MTSPR, Convert__imm_95_529__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, },
5434
  { 6688 /* mtibatl */, PPC::MTSPR, Convert__imm_95_531__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, },
5435
  { 6688 /* mtibatl */, PPC::MTSPR, Convert__imm_95_533__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, },
5436
  { 6688 /* mtibatl */, PPC::MTSPR, Convert__imm_95_535__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, },
5437
  { 6696 /* mtibatu */, PPC::MTSPR, Convert__imm_95_528__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, },
5438
  { 6696 /* mtibatu */, PPC::MTSPR, Convert__imm_95_530__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, },
5439
  { 6696 /* mtibatu */, PPC::MTSPR, Convert__imm_95_532__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, },
5440
  { 6696 /* mtibatu */, PPC::MTSPR, Convert__imm_95_534__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, },
5441
  { 6704 /* mticcr */, PPC::MTSPR, Convert__imm_95_1019__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5442
  { 6711 /* mtlr */, PPC::MTLR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5443
  { 6716 /* mtmsr */, PPC::MTMSR, Convert__RegGPRC1_0__imm_95_0, 0, { MCK_RegGPRC }, },
5444
  { 6716 /* mtmsr */, PPC::MTMSR, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, },
5445
  { 6722 /* mtmsrd */, PPC::MTMSRD, Convert__RegGPRC1_0__imm_95_0, 0, { MCK_RegGPRC }, },
5446
  { 6722 /* mtmsrd */, PPC::MTMSRD, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, },
5447
  { 6729 /* mtocrf */, PPC::MTOCRF, Convert__CRBitMask1_0__RegGPRC1_1, 0, { MCK_CRBitMask, MCK_RegGPRC }, },
5448
  { 6736 /* mtpid */, PPC::MTSPR, Convert__imm_95_48__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5449
  { 6742 /* mtpmr */, PPC::MTPMR, Convert__Imm1_0__RegGPRC1_1, 0, { MCK_Imm, MCK_RegGPRC }, },
5450
  { 6748 /* mtsdr1 */, PPC::MTSPR, Convert__imm_95_25__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5451
  { 6748 /* mtsdr1 */, PPC::MTSPR, Convert__imm_95_25__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5452
  { 6755 /* mtspefscr */, PPC::MTSPR, Convert__imm_95_512__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5453
  { 6765 /* mtspr */, PPC::MTSPR, Convert__Imm1_0__RegGPRC1_1, 0, { MCK_Imm, MCK_RegGPRC }, },
5454
  { 6771 /* mtsprg */, PPC::MTSPR, Convert__imm_95_272__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, },
5455
  { 6771 /* mtsprg */, PPC::MTSPR, Convert__imm_95_273__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, },
5456
  { 6771 /* mtsprg */, PPC::MTSPR, Convert__imm_95_274__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, },
5457
  { 6771 /* mtsprg */, PPC::MTSPR, Convert__imm_95_275__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, },
5458
  { 6771 /* mtsprg */, PPC::MTSPR, Convert__imm_95_260__RegGPRC1_1, 0, { MCK_4, MCK_RegGPRC }, },
5459
  { 6771 /* mtsprg */, PPC::MTSPR, Convert__imm_95_261__RegGPRC1_1, 0, { MCK_5, MCK_RegGPRC }, },
5460
  { 6771 /* mtsprg */, PPC::MTSPR, Convert__imm_95_262__RegGPRC1_1, 0, { MCK_6, MCK_RegGPRC }, },
5461
  { 6771 /* mtsprg */, PPC::MTSPR, Convert__imm_95_263__RegGPRC1_1, 0, { MCK_7, MCK_RegGPRC }, },
5462
  { 6778 /* mtsprg0 */, PPC::MTSPR, Convert__imm_95_272__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5463
  { 6786 /* mtsprg1 */, PPC::MTSPR, Convert__imm_95_273__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5464
  { 6794 /* mtsprg2 */, PPC::MTSPR, Convert__imm_95_274__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5465
  { 6802 /* mtsprg3 */, PPC::MTSPR, Convert__imm_95_275__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5466
  { 6810 /* mtsprg4 */, PPC::MTSPR, Convert__imm_95_260__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5467
  { 6818 /* mtsprg5 */, PPC::MTSPR, Convert__imm_95_261__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5468
  { 6826 /* mtsprg6 */, PPC::MTSPR, Convert__imm_95_262__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5469
  { 6834 /* mtsprg7 */, PPC::MTSPR, Convert__imm_95_263__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5470
  { 6842 /* mtsr */, PPC::MTSR, Convert__RegGPRC1_1__U4Imm1_0, 0, { MCK_U4Imm, MCK_RegGPRC }, },
5471
  { 6847 /* mtsrin */, PPC::MTSRIN, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5472
  { 6854 /* mtsrr0 */, PPC::MTSPR, Convert__imm_95_26__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5473
  { 6854 /* mtsrr0 */, PPC::MTSPR, Convert__imm_95_26__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5474
  { 6861 /* mtsrr1 */, PPC::MTSPR, Convert__imm_95_27__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5475
  { 6861 /* mtsrr1 */, PPC::MTSPR, Convert__imm_95_27__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5476
  { 6868 /* mtsrr2 */, PPC::MTSPR, Convert__imm_95_990__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5477
  { 6875 /* mtsrr3 */, PPC::MTSPR, Convert__imm_95_991__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5478
  { 6882 /* mttbhi */, PPC::MTSPR, Convert__imm_95_988__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5479
  { 6889 /* mttbl */, PPC::MTSPR, Convert__imm_95_284__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5480
  { 6895 /* mttblo */, PPC::MTSPR, Convert__imm_95_989__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5481
  { 6902 /* mttbu */, PPC::MTSPR, Convert__imm_95_285__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5482
  { 6908 /* mttcr */, PPC::MTSPR, Convert__imm_95_986__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5483
  { 6914 /* mtvrsave */, PPC::MTVRSAVE, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5484
  { 6923 /* mtvscr */, PPC::MTVSCR, Convert__RegVRRC1_0, 0, { MCK_RegVRRC }, },
5485
  { 6930 /* mtvsrd */, PPC::MTVSRD, Convert__RegVSFRC1_0__RegG8RC1_1, 0, { MCK_RegVSFRC, MCK_RegG8RC }, },
5486
  { 6937 /* mtvsrdd */, PPC::MTVSRDD, Convert__RegVSRC1_0__RegG8RCNoX01_1__RegG8RC1_2, 0, { MCK_RegVSRC, MCK_RegG8RCNoX0, MCK_RegG8RC }, },
5487
  { 6945 /* mtvsrwa */, PPC::MTVSRWA, Convert__RegVSFRC1_0__RegGPRC1_1, 0, { MCK_RegVSFRC, MCK_RegGPRC }, },
5488
  { 6953 /* mtvsrws */, PPC::MTVSRWS, Convert__RegVSRC1_0__RegGPRC1_1, 0, { MCK_RegVSRC, MCK_RegGPRC }, },
5489
  { 6961 /* mtvsrwz */, PPC::MTVSRWZ, Convert__RegVSFRC1_0__RegGPRC1_1, 0, { MCK_RegVSFRC, MCK_RegGPRC }, },
5490
  { 6969 /* mtxer */, PPC::MTSPR, Convert__imm_95_1__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5491
  { 6975 /* mulhd */, PPC::MULHD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5492
  { 6975 /* mulhd */, PPC::MULHDo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5493
  { 6981 /* mulhdu */, PPC::MULHDU, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5494
  { 6981 /* mulhdu */, PPC::MULHDUo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5495
  { 6988 /* mulhw */, PPC::MULHW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5496
  { 6988 /* mulhw */, PPC::MULHWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5497
  { 6994 /* mulhwu */, PPC::MULHWU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5498
  { 6994 /* mulhwu */, PPC::MULHWUo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5499
  { 7001 /* mulld */, PPC::MULLD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5500
  { 7001 /* mulld */, PPC::MULLDo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5501
  { 7007 /* mulli */, PPC::MULLI, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, },
5502
  { 7013 /* mullw */, PPC::MULLW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5503
  { 7013 /* mullw */, PPC::MULLWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5504
  { 7019 /* nand */, PPC::NAND, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5505
  { 7019 /* nand */, PPC::NANDo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5506
  { 7024 /* nap */, PPC::NAP, Convert_NoOperands, 0, {  }, },
5507
  { 7028 /* neg */, PPC::NEG, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5508
  { 7028 /* neg */, PPC::NEGo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
5509
  { 7032 /* nop */, PPC::NOP, Convert_NoOperands, 0, {  }, },
5510
  { 7036 /* nor */, PPC::NOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5511
  { 7036 /* nor */, PPC::NORo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5512
  { 7040 /* not */, PPC::NOR8, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5513
  { 7040 /* not */, PPC::NOR8o, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC }, },
5514
  { 7044 /* or */, PPC::OR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5515
  { 7044 /* or */, PPC::ORo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5516
  { 7047 /* orc */, PPC::ORC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5517
  { 7047 /* orc */, PPC::ORCo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5518
  { 7051 /* ori */, PPC::ORI, Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, },
5519
  { 7055 /* oris */, PPC::ORIS, Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, },
5520
  { 7060 /* paste */, PPC::CP_PASTEx, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5521
  { 7060 /* paste */, PPC::CP_PASTE, Convert__RegGPRC1_0__RegGPRC1_1__U1Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U1Imm }, },
5522
  { 7060 /* paste */, PPC::CP_PASTEo, Convert__RegGPRC1_1__RegGPRC1_2__U1Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U1Imm }, },
5523
  { 7066 /* paste_last */, PPC::CP_PASTE_LAST, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5524
  { 7077 /* popcntb */, PPC::POPCNTB, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5525
  { 7085 /* popcntd */, PPC::POPCNTD, Convert__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5526
  { 7093 /* popcntw */, PPC::POPCNTW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5527
  { 7101 /* ptesync */, PPC::SYNC, Convert__imm_95_2, 0, {  }, },
5528
  { 7109 /* qvaligni */, PPC::QVALIGNI, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__U2Imm1_3, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_U2Imm }, },
5529
  { 7118 /* qvesplati */, PPC::QVESPLATI, Convert__RegQFRC1_0__RegQFRC1_1__U2Imm1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_U2Imm }, },
5530
  { 7128 /* qvfabs */, PPC::QVFABS, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5531
  { 7135 /* qvfadd */, PPC::QVFADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5532
  { 7142 /* qvfadds */, PPC::QVFADDSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, },
5533
  { 7150 /* qvfand */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_1, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, },
5534
  { 7157 /* qvfandc */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_4, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, },
5535
  { 7165 /* qvfcfid */, PPC::QVFCFID, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5536
  { 7173 /* qvfcfids */, PPC::QVFCFIDS, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5537
  { 7182 /* qvfcfidu */, PPC::QVFCFIDU, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5538
  { 7191 /* qvfcfidus */, PPC::QVFCFIDUS, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5539
  { 7201 /* qvfclr */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_0, 0, { MCK_RegQBRC }, },
5540
  { 7208 /* qvfcmpeq */, PPC::QVFCMPEQb, Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, },
5541
  { 7217 /* qvfcmpgt */, PPC::QVFCMPGTb, Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, },
5542
  { 7226 /* qvfcmplt */, PPC::QVFCMPLTb, Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, },
5543
  { 7235 /* qvfcpsgn */, PPC::QVFCPSGN, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5544
  { 7244 /* qvfctfb */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_5, 0, { MCK_RegQBRC, MCK_RegQBRC }, },
5545
  { 7252 /* qvfctid */, PPC::QVFCTID, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5546
  { 7260 /* qvfctidu */, PPC::QVFCTIDU, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5547
  { 7269 /* qvfctiduz */, PPC::QVFCTIDUZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5548
  { 7279 /* qvfctidz */, PPC::QVFCTIDZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5549
  { 7288 /* qvfctiw */, PPC::QVFCTIW, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5550
  { 7296 /* qvfctiwu */, PPC::QVFCTIWU, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5551
  { 7305 /* qvfctiwuz */, PPC::QVFCTIWUZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5552
  { 7315 /* qvfctiwz */, PPC::QVFCTIWZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5553
  { 7324 /* qvfequ */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_9, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, },
5554
  { 7331 /* qvflogical */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__U12Imm1_3, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC, MCK_U12Imm }, },
5555
  { 7342 /* qvfmadd */, PPC::QVFMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5556
  { 7350 /* qvfmadds */, PPC::QVFMADDSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, },
5557
  { 7359 /* qvfmr */, PPC::QVFMR, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5558
  { 7365 /* qvfmsub */, PPC::QVFMSUB, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5559
  { 7373 /* qvfmsubs */, PPC::QVFMSUBSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, },
5560
  { 7382 /* qvfmul */, PPC::QVFMUL, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5561
  { 7389 /* qvfmuls */, PPC::QVFMULSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, },
5562
  { 7397 /* qvfnabs */, PPC::QVFNABS, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5563
  { 7405 /* qvfnand */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_14, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, },
5564
  { 7413 /* qvfneg */, PPC::QVFNEG, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5565
  { 7420 /* qvfnmadd */, PPC::QVFNMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5566
  { 7429 /* qvfnmadds */, PPC::QVFNMADDSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, },
5567
  { 7439 /* qvfnmsub */, PPC::QVFNMSUB, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5568
  { 7448 /* qvfnmsubs */, PPC::QVFNMSUBSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, },
5569
  { 7458 /* qvfnor */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_8, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, },
5570
  { 7465 /* qvfnot */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_10, 0, { MCK_RegQBRC, MCK_RegQBRC }, },
5571
  { 7472 /* qvfor */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_7, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, },
5572
  { 7478 /* qvforc */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_13, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, },
5573
  { 7485 /* qvfperm */, PPC::QVFPERM, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__RegQFRC1_3, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5574
  { 7493 /* qvfre */, PPC::QVFRE, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5575
  { 7499 /* qvfres */, PPC::QVFRES, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5576
  { 7506 /* qvfrim */, PPC::QVFRIM, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5577
  { 7513 /* qvfrin */, PPC::QVFRIN, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5578
  { 7520 /* qvfrip */, PPC::QVFRIP, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5579
  { 7527 /* qvfriz */, PPC::QVFRIZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5580
  { 7534 /* qvfrsp */, PPC::QVFRSPs, Convert__RegQSRC1_0__RegQFRC1_1, 0, { MCK_RegQSRC, MCK_RegQFRC }, },
5581
  { 7541 /* qvfrsqrte */, PPC::QVFRSQRTE, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5582
  { 7551 /* qvfrsqrtes */, PPC::QVFRSQRTES, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, },
5583
  { 7562 /* qvfsel */, PPC::QVFSELb, Convert__RegQFRC1_0__RegQBRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, },
5584
  { 7569 /* qvfset */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_15, 0, { MCK_RegQBRC }, },
5585
  { 7576 /* qvfsub */, PPC::QVFSUB, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5586
  { 7583 /* qvfsubs */, PPC::QVFSUBSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, },
5587
  { 7591 /* qvftstnan */, PPC::QVFTSTNANb, Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, },
5588
  { 7601 /* qvfxmadd */, PPC::QVFXMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5589
  { 7610 /* qvfxmadds */, PPC::QVFXMADDS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5590
  { 7620 /* qvfxmul */, PPC::QVFXMUL, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5591
  { 7628 /* qvfxmuls */, PPC::QVFXMULS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5592
  { 7637 /* qvfxor */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_6, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, },
5593
  { 7644 /* qvfxxcpnmadd */, PPC::QVFXXCPNMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5594
  { 7657 /* qvfxxcpnmadds */, PPC::QVFXXCPNMADDS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5595
  { 7671 /* qvfxxmadd */, PPC::QVFXXMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5596
  { 7681 /* qvfxxmadds */, PPC::QVFXXMADDS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5597
  { 7692 /* qvfxxnpmadd */, PPC::QVFXXNPMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5598
  { 7704 /* qvfxxnpmadds */, PPC::QVFXXNPMADDS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, },
5599
  { 7717 /* qvgpci */, PPC::QVGPCI, Convert__RegQFRC1_0__U12Imm1_1, 0, { MCK_RegQFRC, MCK_U12Imm }, },
5600
  { 7724 /* qvlfcdux */, PPC::QVLFCDUX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5601
  { 7733 /* qvlfcduxa */, PPC::QVLFCDUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5602
  { 7743 /* qvlfcdx */, PPC::QVLFCDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5603
  { 7751 /* qvlfcdxa */, PPC::QVLFCDXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5604
  { 7760 /* qvlfcsux */, PPC::QVLFCSUX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5605
  { 7769 /* qvlfcsuxa */, PPC::QVLFCSUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5606
  { 7779 /* qvlfcsx */, PPC::QVLFCSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5607
  { 7787 /* qvlfcsxa */, PPC::QVLFCSXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5608
  { 7796 /* qvlfdux */, PPC::QVLFDUX, Convert__RegQFRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5609
  { 7804 /* qvlfduxa */, PPC::QVLFDUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5610
  { 7813 /* qvlfdx */, PPC::QVLFDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5611
  { 7820 /* qvlfdxa */, PPC::QVLFDXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5612
  { 7828 /* qvlfiwax */, PPC::QVLFIWAX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5613
  { 7837 /* qvlfiwaxa */, PPC::QVLFIWAXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5614
  { 7847 /* qvlfiwzx */, PPC::QVLFIWZX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5615
  { 7856 /* qvlfiwzxa */, PPC::QVLFIWZXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5616
  { 7866 /* qvlfsux */, PPC::QVLFSUX, Convert__RegQSRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5617
  { 7874 /* qvlfsuxa */, PPC::QVLFSUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5618
  { 7883 /* qvlfsx */, PPC::QVLFSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5619
  { 7890 /* qvlfsxa */, PPC::QVLFSXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5620
  { 7898 /* qvlpcldx */, PPC::QVLPCLDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5621
  { 7907 /* qvlpclsx */, PPC::QVLPCLSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5622
  { 7916 /* qvlpcrdx */, PPC::QVLPCRDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5623
  { 7925 /* qvlpcrsx */, PPC::QVLPCRSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5624
  { 7934 /* qvstfcdux */, PPC::QVSTFCDUX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5625
  { 7944 /* qvstfcduxa */, PPC::QVSTFCDUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5626
  { 7955 /* qvstfcduxi */, PPC::QVSTFCDUXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5627
  { 7966 /* qvstfcduxia */, PPC::QVSTFCDUXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5628
  { 7978 /* qvstfcdx */, PPC::QVSTFCDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5629
  { 7987 /* qvstfcdxa */, PPC::QVSTFCDXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5630
  { 7997 /* qvstfcdxi */, PPC::QVSTFCDXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5631
  { 8007 /* qvstfcdxia */, PPC::QVSTFCDXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5632
  { 8018 /* qvstfcsux */, PPC::QVSTFCSUX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5633
  { 8028 /* qvstfcsuxa */, PPC::QVSTFCSUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5634
  { 8039 /* qvstfcsuxi */, PPC::QVSTFCSUXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5635
  { 8050 /* qvstfcsuxia */, PPC::QVSTFCSUXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5636
  { 8062 /* qvstfcsx */, PPC::QVSTFCSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5637
  { 8071 /* qvstfcsxa */, PPC::QVSTFCSXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5638
  { 8081 /* qvstfcsxi */, PPC::QVSTFCSXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5639
  { 8091 /* qvstfcsxia */, PPC::QVSTFCSXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5640
  { 8102 /* qvstfdux */, PPC::QVSTFDUX, Convert__imm_95_0__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5641
  { 8111 /* qvstfduxa */, PPC::QVSTFDUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5642
  { 8121 /* qvstfduxi */, PPC::QVSTFDUXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5643
  { 8131 /* qvstfduxia */, PPC::QVSTFDUXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5644
  { 8142 /* qvstfdx */, PPC::QVSTFDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5645
  { 8150 /* qvstfdxa */, PPC::QVSTFDXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5646
  { 8159 /* qvstfdxi */, PPC::QVSTFDXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5647
  { 8168 /* qvstfdxia */, PPC::QVSTFDXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5648
  { 8178 /* qvstfiwx */, PPC::QVSTFIWX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5649
  { 8187 /* qvstfiwxa */, PPC::QVSTFIWXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5650
  { 8197 /* qvstfsux */, PPC::QVSTFSUX, Convert__imm_95_0__RegQSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5651
  { 8206 /* qvstfsuxa */, PPC::QVSTFSUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5652
  { 8216 /* qvstfsuxi */, PPC::QVSTFSUXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5653
  { 8226 /* qvstfsuxia */, PPC::QVSTFSUXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5654
  { 8237 /* qvstfsx */, PPC::QVSTFSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5655
  { 8245 /* qvstfsxa */, PPC::QVSTFSXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5656
  { 8254 /* qvstfsxi */, PPC::QVSTFSXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5657
  { 8263 /* qvstfsxia */, PPC::QVSTFSXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5658
  { 8273 /* rfci */, PPC::RFCI, Convert_NoOperands, 0, {  }, },
5659
  { 8278 /* rfdi */, PPC::RFDI, Convert_NoOperands, 0, {  }, },
5660
  { 8283 /* rfebb */, PPC::RFEBB, Convert__U1Imm1_0, 0, { MCK_U1Imm }, },
5661
  { 8289 /* rfi */, PPC::RFI, Convert_NoOperands, 0, {  }, },
5662
  { 8293 /* rfid */, PPC::RFID, Convert_NoOperands, 0, {  }, },
5663
  { 8298 /* rfmci */, PPC::RFMCI, Convert_NoOperands, 0, {  }, },
5664
  { 8304 /* rldcl */, PPC::RLDCL, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC, MCK_U6Imm }, },
5665
  { 8304 /* rldcl */, PPC::RLDCLo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC, MCK_U6Imm }, },
5666
  { 8310 /* rldcr */, PPC::RLDCR, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC, MCK_U6Imm }, },
5667
  { 8310 /* rldcr */, PPC::RLDCRo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC, MCK_U6Imm }, },
5668
  { 8316 /* rldic */, PPC::RLDIC, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5669
  { 8316 /* rldic */, PPC::RLDICo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5670
  { 8322 /* rldicl */, PPC::RLDICL, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5671
  { 8322 /* rldicl */, PPC::RLDICLo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5672
  { 8329 /* rldicr */, PPC::RLDICR, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5673
  { 8329 /* rldicr */, PPC::RLDICRo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5674
  { 8336 /* rldimi */, PPC::RLDIMI, Convert__RegG8RC1_0__Tie0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5675
  { 8336 /* rldimi */, PPC::RLDIMIo, Convert__RegG8RC1_1__Tie0__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, },
5676
  { 8343 /* rlwimi */, PPC::RLWIMIbm, Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, },
5677
  { 8343 /* rlwimi */, PPC::RLWIMIobm, Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, },
5678
  { 8343 /* rlwimi */, PPC::RLWIMI, Convert__RegGPRC1_0__Tie0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm, MCK_U5Imm }, },
5679
  { 8343 /* rlwimi */, PPC::RLWIMIo, Convert__RegGPRC1_1__Tie0__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm, MCK_U5Imm }, },
5680
  { 8350 /* rlwinm */, PPC::RLWINMbm, Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, },
5681
  { 8350 /* rlwinm */, PPC::RLWINMobm, Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, },
5682
  { 8350 /* rlwinm */, PPC::RLWINM, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm, MCK_U5Imm }, },
5683
  { 8350 /* rlwinm */, PPC::RLWINMo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm, MCK_U5Imm }, },
5684
  { 8357 /* rlwnm */, PPC::RLWNMbm, Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, },
5685
  { 8357 /* rlwnm */, PPC::RLWNMobm, Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, },
5686
  { 8357 /* rlwnm */, PPC::RLWNM, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5687
  { 8357 /* rlwnm */, PPC::RLWNMo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__U5Imm1_4__U5Imm1_5, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, },
5688
  { 8363 /* rotld */, PPC::RLDCL, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__imm_95_0, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, },
5689
  { 8363 /* rotld */, PPC::RLDCLo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__imm_95_0, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, },
5690
  { 8369 /* rotldi */, PPC::RLDICL, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__imm_95_0, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5691
  { 8369 /* rotldi */, PPC::RLDICLo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__imm_95_0, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5692
  { 8376 /* rotlw */, PPC::RLWNM, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__imm_95_0__imm_95_31, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5693
  { 8376 /* rotlw */, PPC::RLWNMo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__imm_95_0__imm_95_31, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5694
  { 8382 /* rotlwi */, PPC::RLWINM, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__imm_95_0__imm_95_31, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5695
  { 8382 /* rotlwi */, PPC::RLWINMo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__imm_95_0__imm_95_31, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5696
  { 8389 /* rotrdi */, PPC::ROTRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5697
  { 8389 /* rotrdi */, PPC::ROTRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5698
  { 8396 /* rotrwi */, PPC::ROTRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5699
  { 8396 /* rotrwi */, PPC::ROTRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5700
  { 8403 /* sc */, PPC::SC, Convert__imm_95_0, 0, {  }, },
5701
  { 8403 /* sc */, PPC::SC, Convert__Imm1_0, 0, { MCK_Imm }, },
5702
  { 8406 /* setb */, PPC::SETB, Convert__RegG8RC1_0__RegCRRC1_1, 0, { MCK_RegG8RC, MCK_RegCRRC }, },
5703
  { 8411 /* slbia */, PPC::SLBIA, Convert_NoOperands, 0, {  }, },
5704
  { 8417 /* slbie */, PPC::SLBIE, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5705
  { 8423 /* slbieg */, PPC::SLBIEG, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5706
  { 8430 /* slbmfee */, PPC::SLBMFEE, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5707
  { 8438 /* slbmfev */, PPC::SLBMFEV, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5708
  { 8446 /* slbmte */, PPC::SLBMTE, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5709
  { 8453 /* slbsync */, PPC::SLBSYNC, Convert_NoOperands, 0, {  }, },
5710
  { 8461 /* sld */, PPC::SLD, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, },
5711
  { 8461 /* sld */, PPC::SLDo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, },
5712
  { 8465 /* sldi */, PPC::SLDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5713
  { 8465 /* sldi */, PPC::SLDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5714
  { 8470 /* slw */, PPC::SLW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5715
  { 8470 /* slw */, PPC::SLWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5716
  { 8474 /* slwi */, PPC::SLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5717
  { 8474 /* slwi */, PPC::SLWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5718
  { 8479 /* srad */, PPC::SRAD, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, },
5719
  { 8479 /* srad */, PPC::SRADo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, },
5720
  { 8484 /* sradi */, PPC::SRADI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5721
  { 8484 /* sradi */, PPC::SRADIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5722
  { 8490 /* sraw */, PPC::SRAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5723
  { 8490 /* sraw */, PPC::SRAWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5724
  { 8495 /* srawi */, PPC::SRAWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5725
  { 8495 /* srawi */, PPC::SRAWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5726
  { 8501 /* srd */, PPC::SRD, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, },
5727
  { 8501 /* srd */, PPC::SRDo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, },
5728
  { 8505 /* srdi */, PPC::SRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5729
  { 8505 /* srdi */, PPC::SRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, },
5730
  { 8510 /* srw */, PPC::SRW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5731
  { 8510 /* srw */, PPC::SRWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5732
  { 8514 /* srwi */, PPC::SRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5733
  { 8514 /* srwi */, PPC::SRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5734
  { 8519 /* stb */, PPC::STB, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5735
  { 8523 /* stbcix */, PPC::STBCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5736
  { 8530 /* stbcx */, PPC::STBCX, Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5737
  { 8536 /* stbu */, PPC::STBU, Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5738
  { 8541 /* stbux */, PPC::STBUX, Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5739
  { 8547 /* stbx */, PPC::STBX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5740
  { 8552 /* std */, PPC::STD, Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, },
5741
  { 8556 /* stdat */, PPC::STDAT, Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm }, },
5742
  { 8562 /* stdbrx */, PPC::STDBRX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5743
  { 8569 /* stdcix */, PPC::STDCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5744
  { 8576 /* stdcx */, PPC::STDCX, Convert__RegG8RC1_1__RegGxRCNoR01_2__RegGxRC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5745
  { 8582 /* stdu */, PPC::STDU, Convert__imm_95_0__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, },
5746
  { 8587 /* stdux */, PPC::STDUX, Convert__imm_95_0__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5747
  { 8593 /* stdx */, PPC::STDX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5748
  { 8598 /* stfd */, PPC::STFD, Convert__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF8RC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5749
  { 8603 /* stfdu */, PPC::STFDU, Convert__imm_95_0__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF8RC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5750
  { 8609 /* stfdux */, PPC::STFDUX, Convert__imm_95_0__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5751
  { 8616 /* stfdx */, PPC::STFDX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5752
  { 8622 /* stfiwx */, PPC::STFIWX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5753
  { 8629 /* stfs */, PPC::STFS, Convert__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF4RC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5754
  { 8634 /* stfsu */, PPC::STFSU, Convert__imm_95_0__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF4RC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5755
  { 8640 /* stfsux */, PPC::STFSUX, Convert__imm_95_0__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF4RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5756
  { 8647 /* stfsx */, PPC::STFSX, Convert__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF4RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5757
  { 8653 /* sth */, PPC::STH, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5758
  { 8657 /* sthbrx */, PPC::STHBRX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5759
  { 8664 /* sthcix */, PPC::STHCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5760
  { 8671 /* sthcx */, PPC::STHCX, Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5761
  { 8677 /* sthu */, PPC::STHU, Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5762
  { 8682 /* sthux */, PPC::STHUX, Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5763
  { 8688 /* sthx */, PPC::STHX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5764
  { 8693 /* stmw */, PPC::STMW, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5765
  { 8698 /* stop */, PPC::STOP, Convert_NoOperands, 0, {  }, },
5766
  { 8703 /* stswi */, PPC::STSWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5767
  { 8709 /* stvebx */, PPC::STVEBX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5768
  { 8716 /* stvehx */, PPC::STVEHX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5769
  { 8723 /* stvewx */, PPC::STVEWX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5770
  { 8730 /* stvx */, PPC::STVX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5771
  { 8735 /* stvxl */, PPC::STVXL, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5772
  { 8741 /* stw */, PPC::STW, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5773
  { 8745 /* stwat */, PPC::STWAT, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, },
5774
  { 8751 /* stwbrx */, PPC::STWBRX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5775
  { 8758 /* stwcix */, PPC::STWCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5776
  { 8765 /* stwcx */, PPC::STWCX, Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5777
  { 8771 /* stwu */, PPC::STWU, Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, },
5778
  { 8776 /* stwux */, PPC::STWUX, Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5779
  { 8782 /* stwx */, PPC::STWX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5780
  { 8787 /* stxsd */, PPC::STXSD, Convert__RegVFRC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegVFRC, MCK_DispRIX, MCK_RegGxRCNoR0 }, },
5781
  { 8793 /* stxsdx */, PPC::STXSDX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5782
  { 8800 /* stxsibx */, PPC::STXSIBX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5783
  { 8808 /* stxsihx */, PPC::STXSIHX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5784
  { 8816 /* stxsiwx */, PPC::STXSIWX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5785
  { 8824 /* stxssp */, PPC::STXSSP, Convert__RegVFRC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegVFRC, MCK_DispRIX, MCK_RegGxRCNoR0 }, },
5786
  { 8831 /* stxsspx */, PPC::STXSSPX, Convert__RegVSSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5787
  { 8839 /* stxv */, PPC::STXV, Convert__RegVSRC1_0__DispRIX161_1__RegGxRCNoR01_2, 0, { MCK_RegVSRC, MCK_DispRIX16, MCK_RegGxRCNoR0 }, },
5788
  { 8844 /* stxvb16x */, PPC::STXVB16X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5789
  { 8853 /* stxvd2x */, PPC::STXVD2X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5790
  { 8861 /* stxvh8x */, PPC::STXVH8X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5791
  { 8869 /* stxvl */, PPC::STXVL, Convert__RegVSRC1_0__Imm1_1__RegG8RC1_2, 0, { MCK_RegVSRC, MCK_Imm, MCK_RegG8RC }, },
5792
  { 8875 /* stxvll */, PPC::STXVLL, Convert__RegVSRC1_0__Imm1_1__RegG8RC1_2, 0, { MCK_RegVSRC, MCK_Imm, MCK_RegG8RC }, },
5793
  { 8882 /* stxvw4x */, PPC::STXVW4X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5794
  { 8890 /* stxvx */, PPC::STXVX, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, },
5795
  { 8896 /* sub */, PPC::SUBF8, Convert__RegG8RC1_0__RegG8RC1_2__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5796
  { 8896 /* sub */, PPC::SUBF8o, Convert__RegG8RC1_1__RegG8RC1_3__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5797
  { 8900 /* subc */, PPC::SUBFC8, Convert__RegG8RC1_0__RegG8RC1_2__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5798
  { 8900 /* subc */, PPC::SUBFC8o, Convert__RegG8RC1_1__RegG8RC1_3__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, },
5799
  { 8905 /* subf */, PPC::SUBF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5800
  { 8905 /* subf */, PPC::SUBFo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5801
  { 8910 /* subfc */, PPC::SUBFC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5802
  { 8910 /* subfc */, PPC::SUBFCo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5803
  { 8916 /* subfe */, PPC::SUBFE, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5804
  { 8916 /* subfe */, PPC::SUBFEo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5805
  { 8922 /* subfic */, PPC::SUBFIC, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, },
5806
  { 8929 /* subfme */, PPC::SUBFME, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5807
  { 8929 /* subfme */, PPC::SUBFMEo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
5808
  { 8936 /* subfze */, PPC::SUBFZE, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5809
  { 8936 /* subfze */, PPC::SUBFZEo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, },
5810
  { 8943 /* subi */, PPC::SUBI, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, },
5811
  { 8948 /* subic */, PPC::SUBIC, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, },
5812
  { 8948 /* subic */, PPC::SUBICo, Convert__RegGPRC1_1__RegGPRC1_2__S16Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, },
5813
  { 8954 /* subis */, PPC::SUBIS, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, },
5814
  { 8960 /* subpcis */, PPC::SUBPCIS, Convert__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5815
  { 8968 /* sync */, PPC::SYNC, Convert__imm_95_0, 0, {  }, },
5816
  { 8968 /* sync */, PPC::SYNC, Convert__Imm1_0, 0, { MCK_Imm }, },
5817
  { 8973 /* tabort */, PPC::TABORT, Convert__imm_95_0__RegGPRC1_1, 0, { MCK__DOT_, MCK_RegGPRC }, },
5818
  { 8980 /* tabortdc */, PPC::TABORTDC, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_U5Imm, MCK_RegGPRC, MCK_RegGPRC }, },
5819
  { 8989 /* tabortdci */, PPC::TABORTDCI, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_U5Imm, MCK_RegGPRC, MCK_U5Imm }, },
5820
  { 8999 /* tabortwc */, PPC::TABORTWC, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_U5Imm, MCK_RegGPRC, MCK_RegGPRC }, },
5821
  { 9008 /* tabortwci */, PPC::TABORTWCI, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_U5Imm, MCK_RegGPRC, MCK_U5Imm }, },
5822
  { 9018 /* tbegin */, PPC::TBEGIN, Convert__imm_95_0__U1Imm1_1, 0, { MCK__DOT_, MCK_U1Imm }, },
5823
  { 9025 /* tcheck */, PPC::TCHECK, Convert__RegCRRC1_0, 0, { MCK_RegCRRC }, },
5824
  { 9032 /* td */, PPC::TD, Convert__U5Imm1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_U5Imm, MCK_RegG8RC, MCK_RegG8RC }, },
5825
  { 9035 /* tdeq */, PPC::TD, Convert__imm_95_4__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5826
  { 9040 /* tdeqi */, PPC::TDI, Convert__imm_95_4__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5827
  { 9046 /* tdge */, PPC::TD, Convert__imm_95_12__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5828
  { 9051 /* tdgei */, PPC::TDI, Convert__imm_95_12__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5829
  { 9057 /* tdgt */, PPC::TD, Convert__imm_95_8__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5830
  { 9062 /* tdgti */, PPC::TDI, Convert__imm_95_8__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5831
  { 9068 /* tdi */, PPC::TDI, Convert__U5Imm1_0__RegG8RC1_1__S16Imm1_2, 0, { MCK_U5Imm, MCK_RegG8RC, MCK_S16Imm }, },
5832
  { 9072 /* tdle */, PPC::TD, Convert__imm_95_20__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5833
  { 9077 /* tdlei */, PPC::TDI, Convert__imm_95_20__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5834
  { 9083 /* tdlge */, PPC::TD, Convert__imm_95_5__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5835
  { 9089 /* tdlgei */, PPC::TDI, Convert__imm_95_5__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5836
  { 9096 /* tdlgt */, PPC::TD, Convert__imm_95_1__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5837
  { 9102 /* tdlgti */, PPC::TDI, Convert__imm_95_1__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5838
  { 9109 /* tdlle */, PPC::TD, Convert__imm_95_6__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5839
  { 9115 /* tdllei */, PPC::TDI, Convert__imm_95_6__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5840
  { 9122 /* tdllt */, PPC::TD, Convert__imm_95_2__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5841
  { 9128 /* tdllti */, PPC::TDI, Convert__imm_95_2__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5842
  { 9135 /* tdlng */, PPC::TD, Convert__imm_95_6__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5843
  { 9141 /* tdlngi */, PPC::TDI, Convert__imm_95_6__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5844
  { 9148 /* tdlnl */, PPC::TD, Convert__imm_95_5__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5845
  { 9154 /* tdlnli */, PPC::TDI, Convert__imm_95_5__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5846
  { 9161 /* tdlt */, PPC::TD, Convert__imm_95_16__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5847
  { 9166 /* tdlti */, PPC::TDI, Convert__imm_95_16__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5848
  { 9172 /* tdne */, PPC::TD, Convert__imm_95_24__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5849
  { 9177 /* tdnei */, PPC::TDI, Convert__imm_95_24__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5850
  { 9183 /* tdng */, PPC::TD, Convert__imm_95_20__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5851
  { 9188 /* tdngi */, PPC::TDI, Convert__imm_95_20__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5852
  { 9194 /* tdnl */, PPC::TD, Convert__imm_95_12__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5853
  { 9199 /* tdnli */, PPC::TDI, Convert__imm_95_12__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5854
  { 9205 /* tdu */, PPC::TD, Convert__imm_95_31__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, },
5855
  { 9209 /* tdui */, PPC::TDI, Convert__imm_95_31__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, },
5856
  { 9214 /* tend */, PPC::TEND, Convert__imm_95_0__U1Imm1_1, 0, { MCK__DOT_, MCK_U1Imm }, },
5857
  { 9219 /* tlbia */, PPC::TLBIA, Convert_NoOperands, 0, {  }, },
5858
  { 9225 /* tlbie */, PPC::TLBIE, Convert__regR0__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5859
  { 9225 /* tlbie */, PPC::TLBIE, Convert__RegGPRC1_1__RegGPRC1_0, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5860
  { 9231 /* tlbiel */, PPC::TLBIEL, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5861
  { 9238 /* tlbivax */, PPC::TLBIVAX, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5862
  { 9246 /* tlbld */, PPC::TLBLD, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5863
  { 9252 /* tlbli */, PPC::TLBLI, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
5864
  { 9258 /* tlbre */, PPC::TLBRE, Convert_NoOperands, 0, {  }, },
5865
  { 9258 /* tlbre */, PPC::TLBRE2, Convert__RegGPRC1_0__RegGPRC1_1__Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_Imm }, },
5866
  { 9264 /* tlbrehi */, PPC::TLBRE2, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5867
  { 9272 /* tlbrelo */, PPC::TLBRE2, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5868
  { 9280 /* tlbsx */, PPC::TLBSX, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5869
  { 9280 /* tlbsx */, PPC::TLBSX2, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5870
  { 9280 /* tlbsx */, PPC::TLBSX2D, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
5871
  { 9286 /* tlbsync */, PPC::TLBSYNC, Convert_NoOperands, 0, {  }, },
5872
  { 9294 /* tlbwe */, PPC::TLBWE, Convert_NoOperands, 0, {  }, },
5873
  { 9294 /* tlbwe */, PPC::TLBWE2, Convert__RegGPRC1_0__RegGPRC1_1__Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_Imm }, },
5874
  { 9300 /* tlbwehi */, PPC::TLBWE2, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5875
  { 9308 /* tlbwelo */, PPC::TLBWE2, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5876
  { 9316 /* trap */, PPC::TRAP, Convert_NoOperands, 0, {  }, },
5877
  { 9321 /* trechkpt */, PPC::TRECHKPT, Convert__imm_95_0, 0, { MCK__DOT_ }, },
5878
  { 9330 /* treclaim */, PPC::TRECLAIM, Convert__imm_95_0__RegGPRC1_1, 0, { MCK__DOT_, MCK_RegGPRC }, },
5879
  { 9339 /* tsr */, PPC::TSR, Convert__imm_95_0__U1Imm1_1, 0, { MCK__DOT_, MCK_U1Imm }, },
5880
  { 9343 /* tw */, PPC::TW, Convert__U5Imm1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_U5Imm, MCK_RegGPRC, MCK_RegGPRC }, },
5881
  { 9346 /* tweq */, PPC::TW, Convert__imm_95_4__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5882
  { 9351 /* tweqi */, PPC::TWI, Convert__imm_95_4__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5883
  { 9357 /* twge */, PPC::TW, Convert__imm_95_12__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5884
  { 9362 /* twgei */, PPC::TWI, Convert__imm_95_12__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5885
  { 9368 /* twgt */, PPC::TW, Convert__imm_95_8__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5886
  { 9373 /* twgti */, PPC::TWI, Convert__imm_95_8__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5887
  { 9379 /* twi */, PPC::TWI, Convert__U5Imm1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_U5Imm, MCK_RegGPRC, MCK_S16Imm }, },
5888
  { 9383 /* twle */, PPC::TW, Convert__imm_95_20__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5889
  { 9388 /* twlei */, PPC::TWI, Convert__imm_95_20__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5890
  { 9394 /* twlge */, PPC::TW, Convert__imm_95_5__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5891
  { 9400 /* twlgei */, PPC::TWI, Convert__imm_95_5__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5892
  { 9407 /* twlgt */, PPC::TW, Convert__imm_95_1__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5893
  { 9413 /* twlgti */, PPC::TWI, Convert__imm_95_1__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5894
  { 9420 /* twlle */, PPC::TW, Convert__imm_95_6__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5895
  { 9426 /* twllei */, PPC::TWI, Convert__imm_95_6__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5896
  { 9433 /* twllt */, PPC::TW, Convert__imm_95_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5897
  { 9439 /* twllti */, PPC::TWI, Convert__imm_95_2__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5898
  { 9446 /* twlng */, PPC::TW, Convert__imm_95_6__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5899
  { 9452 /* twlngi */, PPC::TWI, Convert__imm_95_6__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5900
  { 9459 /* twlnl */, PPC::TW, Convert__imm_95_5__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5901
  { 9465 /* twlnli */, PPC::TWI, Convert__imm_95_5__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5902
  { 9472 /* twlt */, PPC::TW, Convert__imm_95_16__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5903
  { 9477 /* twlti */, PPC::TWI, Convert__imm_95_16__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5904
  { 9483 /* twne */, PPC::TW, Convert__imm_95_24__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5905
  { 9488 /* twnei */, PPC::TWI, Convert__imm_95_24__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5906
  { 9494 /* twng */, PPC::TW, Convert__imm_95_20__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5907
  { 9499 /* twngi */, PPC::TWI, Convert__imm_95_20__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5908
  { 9505 /* twnl */, PPC::TW, Convert__imm_95_12__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5909
  { 9510 /* twnli */, PPC::TWI, Convert__imm_95_12__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5910
  { 9516 /* twu */, PPC::TW, Convert__imm_95_31__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, },
5911
  { 9520 /* twui */, PPC::TWI, Convert__imm_95_31__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, },
5912
  { 9525 /* vabsdub */, PPC::VABSDUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5913
  { 9533 /* vabsduh */, PPC::VABSDUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5914
  { 9541 /* vabsduw */, PPC::VABSDUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5915
  { 9549 /* vaddcuq */, PPC::VADDCUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5916
  { 9557 /* vaddcuw */, PPC::VADDCUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5917
  { 9565 /* vaddecuq */, PPC::VADDECUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5918
  { 9574 /* vaddeuqm */, PPC::VADDEUQM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5919
  { 9583 /* vaddfp */, PPC::VADDFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5920
  { 9590 /* vaddsbs */, PPC::VADDSBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5921
  { 9598 /* vaddshs */, PPC::VADDSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5922
  { 9606 /* vaddsws */, PPC::VADDSWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5923
  { 9614 /* vaddubm */, PPC::VADDUBM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5924
  { 9622 /* vaddubs */, PPC::VADDUBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5925
  { 9630 /* vaddudm */, PPC::VADDUDM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5926
  { 9638 /* vadduhm */, PPC::VADDUHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5927
  { 9646 /* vadduhs */, PPC::VADDUHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5928
  { 9654 /* vadduqm */, PPC::VADDUQM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5929
  { 9662 /* vadduwm */, PPC::VADDUWM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5930
  { 9670 /* vadduws */, PPC::VADDUWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5931
  { 9678 /* vand */, PPC::VAND, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5932
  { 9683 /* vandc */, PPC::VANDC, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5933
  { 9689 /* vavgsb */, PPC::VAVGSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5934
  { 9696 /* vavgsh */, PPC::VAVGSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5935
  { 9703 /* vavgsw */, PPC::VAVGSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5936
  { 9710 /* vavgub */, PPC::VAVGUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5937
  { 9717 /* vavguh */, PPC::VAVGUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5938
  { 9724 /* vavguw */, PPC::VAVGUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5939
  { 9731 /* vbpermd */, PPC::VBPERMD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5940
  { 9739 /* vbpermq */, PPC::VBPERMQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5941
  { 9747 /* vcfsx */, PPC::VCFSX, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, },
5942
  { 9753 /* vcfux */, PPC::VCFUX, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, },
5943
  { 9759 /* vcipher */, PPC::VCIPHER, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5944
  { 9767 /* vcipherlast */, PPC::VCIPHERLAST, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5945
  { 9779 /* vclzb */, PPC::VCLZB, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
5946
  { 9785 /* vclzd */, PPC::VCLZD, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
5947
  { 9791 /* vclzh */, PPC::VCLZH, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
5948
  { 9797 /* vclzlsbb */, PPC::VCLZLSBB, Convert__RegGPRC1_0__RegVRRC1_1, 0, { MCK_RegGPRC, MCK_RegVRRC }, },
5949
  { 9806 /* vclzw */, PPC::VCLZW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
5950
  { 9812 /* vcmpbfp */, PPC::VCMPBFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5951
  { 9812 /* vcmpbfp */, PPC::VCMPBFPo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5952
  { 9820 /* vcmpeqfp */, PPC::VCMPEQFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5953
  { 9820 /* vcmpeqfp */, PPC::VCMPEQFPo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5954
  { 9829 /* vcmpequb */, PPC::VCMPEQUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5955
  { 9829 /* vcmpequb */, PPC::VCMPEQUBo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5956
  { 9838 /* vcmpequd */, PPC::VCMPEQUD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5957
  { 9838 /* vcmpequd */, PPC::VCMPEQUDo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5958
  { 9847 /* vcmpequh */, PPC::VCMPEQUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5959
  { 9847 /* vcmpequh */, PPC::VCMPEQUHo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5960
  { 9856 /* vcmpequw */, PPC::VCMPEQUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5961
  { 9856 /* vcmpequw */, PPC::VCMPEQUWo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5962
  { 9865 /* vcmpgefp */, PPC::VCMPGEFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5963
  { 9865 /* vcmpgefp */, PPC::VCMPGEFPo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5964
  { 9874 /* vcmpgtfp */, PPC::VCMPGTFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5965
  { 9874 /* vcmpgtfp */, PPC::VCMPGTFPo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5966
  { 9883 /* vcmpgtsb */, PPC::VCMPGTSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5967
  { 9883 /* vcmpgtsb */, PPC::VCMPGTSBo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5968
  { 9892 /* vcmpgtsd */, PPC::VCMPGTSD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5969
  { 9892 /* vcmpgtsd */, PPC::VCMPGTSDo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5970
  { 9901 /* vcmpgtsh */, PPC::VCMPGTSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5971
  { 9901 /* vcmpgtsh */, PPC::VCMPGTSHo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5972
  { 9910 /* vcmpgtsw */, PPC::VCMPGTSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5973
  { 9910 /* vcmpgtsw */, PPC::VCMPGTSWo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5974
  { 9919 /* vcmpgtub */, PPC::VCMPGTUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5975
  { 9919 /* vcmpgtub */, PPC::VCMPGTUBo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5976
  { 9928 /* vcmpgtud */, PPC::VCMPGTUD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5977
  { 9928 /* vcmpgtud */, PPC::VCMPGTUDo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5978
  { 9937 /* vcmpgtuh */, PPC::VCMPGTUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5979
  { 9937 /* vcmpgtuh */, PPC::VCMPGTUHo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5980
  { 9946 /* vcmpgtuw */, PPC::VCMPGTUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5981
  { 9946 /* vcmpgtuw */, PPC::VCMPGTUWo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5982
  { 9955 /* vcmpneb */, PPC::VCMPNEB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5983
  { 9955 /* vcmpneb */, PPC::VCMPNEBo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5984
  { 9963 /* vcmpneh */, PPC::VCMPNEH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5985
  { 9963 /* vcmpneh */, PPC::VCMPNEHo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5986
  { 9971 /* vcmpnew */, PPC::VCMPNEW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5987
  { 9971 /* vcmpnew */, PPC::VCMPNEWo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5988
  { 9979 /* vcmpnezb */, PPC::VCMPNEZB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5989
  { 9979 /* vcmpnezb */, PPC::VCMPNEZBo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5990
  { 9988 /* vcmpnezh */, PPC::VCMPNEZH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5991
  { 9988 /* vcmpnezh */, PPC::VCMPNEZHo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5992
  { 9997 /* vcmpnezw */, PPC::VCMPNEZW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5993
  { 9997 /* vcmpnezw */, PPC::VCMPNEZWo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
5994
  { 10006 /* vctsxs */, PPC::VCTSXS, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, },
5995
  { 10013 /* vctuxs */, PPC::VCTUXS, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, },
5996
  { 10020 /* vctzb */, PPC::VCTZB, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
5997
  { 10026 /* vctzd */, PPC::VCTZD, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
5998
  { 10032 /* vctzh */, PPC::VCTZH, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
5999
  { 10038 /* vctzlsbb */, PPC::VCTZLSBB, Convert__RegGPRC1_0__RegVRRC1_1, 0, { MCK_RegGPRC, MCK_RegVRRC }, },
6000
  { 10047 /* vctzw */, PPC::VCTZW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6001
  { 10053 /* veqv */, PPC::VEQV, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6002
  { 10058 /* vexptefp */, PPC::VEXPTEFP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6003
  { 10067 /* vextractd */, PPC::VEXTRACTD, Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U4Imm }, },
6004
  { 10077 /* vextractub */, PPC::VEXTRACTUB, Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U4Imm }, },
6005
  { 10088 /* vextractuh */, PPC::VEXTRACTUH, Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U4Imm }, },
6006
  { 10099 /* vextractuw */, PPC::VEXTRACTUW, Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U4Imm }, },
6007
  { 10110 /* vextsb2d */, PPC::VEXTSB2D, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6008
  { 10119 /* vextsb2w */, PPC::VEXTSB2W, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6009
  { 10128 /* vextsh2d */, PPC::VEXTSH2D, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6010
  { 10137 /* vextsh2w */, PPC::VEXTSH2W, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6011
  { 10146 /* vextsw2d */, PPC::VEXTSW2D, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6012
  { 10155 /* vextublx */, PPC::VEXTUBLX, Convert__RegG8RC1_0__RegG8RC1_1__RegVRRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegVRRC }, },
6013
  { 10164 /* vextubrx */, PPC::VEXTUBRX, Convert__RegG8RC1_0__RegG8RC1_1__RegVRRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegVRRC }, },
6014
  { 10173 /* vextuhlx */, PPC::VEXTUHLX, Convert__RegG8RC1_0__RegG8RC1_1__RegVRRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegVRRC }, },
6015
  { 10182 /* vextuhrx */, PPC::VEXTUHRX, Convert__RegG8RC1_0__RegG8RC1_1__RegVRRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegVRRC }, },
6016
  { 10191 /* vextuwlx */, PPC::VEXTUWLX, Convert__RegG8RC1_0__RegG8RC1_1__RegVRRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegVRRC }, },
6017
  { 10200 /* vextuwrx */, PPC::VEXTUWRX, Convert__RegG8RC1_0__RegG8RC1_1__RegVRRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegVRRC }, },
6018
  { 10209 /* vgbbd */, PPC::VGBBD, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6019
  { 10215 /* vinsertb */, PPC::VINSERTB, Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U4Imm }, },
6020
  { 10224 /* vinsertd */, PPC::VINSERTD, Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U4Imm }, },
6021
  { 10233 /* vinserth */, PPC::VINSERTH, Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U4Imm }, },
6022
  { 10242 /* vinsertw */, PPC::VINSERTW, Convert__RegVRRC1_0__U4Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U4Imm }, },
6023
  { 10251 /* vlogefp */, PPC::VLOGEFP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6024
  { 10259 /* vmaddfp */, PPC::VMADDFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6025
  { 10267 /* vmaxfp */, PPC::VMAXFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6026
  { 10274 /* vmaxsb */, PPC::VMAXSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6027
  { 10281 /* vmaxsd */, PPC::VMAXSD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6028
  { 10288 /* vmaxsh */, PPC::VMAXSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6029
  { 10295 /* vmaxsw */, PPC::VMAXSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6030
  { 10302 /* vmaxub */, PPC::VMAXUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6031
  { 10309 /* vmaxud */, PPC::VMAXUD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6032
  { 10316 /* vmaxuh */, PPC::VMAXUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6033
  { 10323 /* vmaxuw */, PPC::VMAXUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6034
  { 10330 /* vmhaddshs */, PPC::VMHADDSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6035
  { 10340 /* vmhraddshs */, PPC::VMHRADDSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6036
  { 10351 /* vminfp */, PPC::VMINFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6037
  { 10358 /* vminsb */, PPC::VMINSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6038
  { 10365 /* vminsd */, PPC::VMINSD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6039
  { 10372 /* vminsh */, PPC::VMINSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6040
  { 10379 /* vminsw */, PPC::VMINSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6041
  { 10386 /* vminub */, PPC::VMINUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6042
  { 10393 /* vminud */, PPC::VMINUD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6043
  { 10400 /* vminuh */, PPC::VMINUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6044
  { 10407 /* vminuw */, PPC::VMINUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6045
  { 10414 /* vmladduhm */, PPC::VMLADDUHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6046
  { 10424 /* vmr */, PPC::VOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6047
  { 10428 /* vmrgew */, PPC::VMRGEW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6048
  { 10435 /* vmrghb */, PPC::VMRGHB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6049
  { 10442 /* vmrghh */, PPC::VMRGHH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6050
  { 10449 /* vmrghw */, PPC::VMRGHW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6051
  { 10456 /* vmrglb */, PPC::VMRGLB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6052
  { 10463 /* vmrglh */, PPC::VMRGLH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6053
  { 10470 /* vmrglw */, PPC::VMRGLW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6054
  { 10477 /* vmrgow */, PPC::VMRGOW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6055
  { 10484 /* vmsummbm */, PPC::VMSUMMBM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6056
  { 10493 /* vmsumshm */, PPC::VMSUMSHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6057
  { 10502 /* vmsumshs */, PPC::VMSUMSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6058
  { 10511 /* vmsumubm */, PPC::VMSUMUBM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6059
  { 10520 /* vmsumuhm */, PPC::VMSUMUHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6060
  { 10529 /* vmsumuhs */, PPC::VMSUMUHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6061
  { 10538 /* vmul10cuq */, PPC::VMUL10CUQ, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6062
  { 10548 /* vmul10ecuq */, PPC::VMUL10ECUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6063
  { 10559 /* vmul10euq */, PPC::VMUL10EUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6064
  { 10569 /* vmul10uq */, PPC::VMUL10UQ, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6065
  { 10578 /* vmulesb */, PPC::VMULESB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6066
  { 10586 /* vmulesh */, PPC::VMULESH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6067
  { 10594 /* vmulesw */, PPC::VMULESW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6068
  { 10602 /* vmuleub */, PPC::VMULEUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6069
  { 10610 /* vmuleuh */, PPC::VMULEUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6070
  { 10618 /* vmuleuw */, PPC::VMULEUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6071
  { 10626 /* vmulosb */, PPC::VMULOSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6072
  { 10634 /* vmulosh */, PPC::VMULOSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6073
  { 10642 /* vmulosw */, PPC::VMULOSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6074
  { 10650 /* vmuloub */, PPC::VMULOUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6075
  { 10658 /* vmulouh */, PPC::VMULOUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6076
  { 10666 /* vmulouw */, PPC::VMULOUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6077
  { 10674 /* vmuluwm */, PPC::VMULUWM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6078
  { 10682 /* vnand */, PPC::VNAND, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6079
  { 10688 /* vncipher */, PPC::VNCIPHER, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6080
  { 10697 /* vncipherlast */, PPC::VNCIPHERLAST, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6081
  { 10710 /* vnegd */, PPC::VNEGD, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6082
  { 10716 /* vnegw */, PPC::VNEGW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6083
  { 10722 /* vnmsubfp */, PPC::VNMSUBFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6084
  { 10731 /* vnor */, PPC::VNOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6085
  { 10736 /* vnot */, PPC::VNOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6086
  { 10741 /* vor */, PPC::VOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6087
  { 10745 /* vorc */, PPC::VORC, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6088
  { 10750 /* vperm */, PPC::VPERM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6089
  { 10756 /* vpermr */, PPC::VPERMR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6090
  { 10763 /* vpermxor */, PPC::VPERMXOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6091
  { 10772 /* vpkpx */, PPC::VPKPX, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6092
  { 10778 /* vpksdss */, PPC::VPKSDSS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6093
  { 10786 /* vpksdus */, PPC::VPKSDUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6094
  { 10794 /* vpkshss */, PPC::VPKSHSS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6095
  { 10802 /* vpkshus */, PPC::VPKSHUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6096
  { 10810 /* vpkswss */, PPC::VPKSWSS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6097
  { 10818 /* vpkswus */, PPC::VPKSWUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6098
  { 10826 /* vpkudum */, PPC::VPKUDUM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6099
  { 10834 /* vpkudus */, PPC::VPKUDUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6100
  { 10842 /* vpkuhum */, PPC::VPKUHUM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6101
  { 10850 /* vpkuhus */, PPC::VPKUHUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6102
  { 10858 /* vpkuwum */, PPC::VPKUWUM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6103
  { 10866 /* vpkuwus */, PPC::VPKUWUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6104
  { 10874 /* vpmsumb */, PPC::VPMSUMB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6105
  { 10882 /* vpmsumd */, PPC::VPMSUMD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6106
  { 10890 /* vpmsumh */, PPC::VPMSUMH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6107
  { 10898 /* vpmsumw */, PPC::VPMSUMW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6108
  { 10906 /* vpopcntb */, PPC::VPOPCNTB, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6109
  { 10915 /* vpopcntd */, PPC::VPOPCNTD, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6110
  { 10924 /* vpopcnth */, PPC::VPOPCNTH, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6111
  { 10933 /* vpopcntw */, PPC::VPOPCNTW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6112
  { 10942 /* vprtybd */, PPC::VPRTYBD, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6113
  { 10950 /* vprtybq */, PPC::VPRTYBQ, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6114
  { 10958 /* vprtybw */, PPC::VPRTYBW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6115
  { 10966 /* vrefp */, PPC::VREFP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6116
  { 10972 /* vrfim */, PPC::VRFIM, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6117
  { 10978 /* vrfin */, PPC::VRFIN, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6118
  { 10984 /* vrfip */, PPC::VRFIP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6119
  { 10990 /* vrfiz */, PPC::VRFIZ, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6120
  { 10996 /* vrlb */, PPC::VRLB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6121
  { 11001 /* vrld */, PPC::VRLD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6122
  { 11006 /* vrldmi */, PPC::VRLDMI, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__Tie0, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6123
  { 11013 /* vrldnm */, PPC::VRLDNM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6124
  { 11020 /* vrlh */, PPC::VRLH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6125
  { 11025 /* vrlw */, PPC::VRLW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6126
  { 11030 /* vrlwmi */, PPC::VRLWMI, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__Tie0, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6127
  { 11037 /* vrlwnm */, PPC::VRLWNM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6128
  { 11044 /* vrsqrtefp */, PPC::VRSQRTEFP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6129
  { 11054 /* vsbox */, PPC::VSBOX, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6130
  { 11060 /* vsel */, PPC::VSEL, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6131
  { 11065 /* vshasigmad */, PPC::VSHASIGMAD, Convert__RegVRRC1_0__RegVRRC1_1__U1Imm1_2__U4Imm1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm, MCK_U4Imm }, },
6132
  { 11076 /* vshasigmaw */, PPC::VSHASIGMAW, Convert__RegVRRC1_0__RegVRRC1_1__U1Imm1_2__U4Imm1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm, MCK_U4Imm }, },
6133
  { 11087 /* vsl */, PPC::VSL, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6134
  { 11091 /* vslb */, PPC::VSLB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6135
  { 11096 /* vsld */, PPC::VSLD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6136
  { 11101 /* vsldoi */, PPC::VSLDOI, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__U5Imm1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, },
6137
  { 11108 /* vslh */, PPC::VSLH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6138
  { 11113 /* vslo */, PPC::VSLO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6139
  { 11118 /* vslv */, PPC::VSLV, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6140
  { 11123 /* vslw */, PPC::VSLW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6141
  { 11128 /* vspltb */, PPC::VSPLTB, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, },
6142
  { 11135 /* vsplth */, PPC::VSPLTH, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, },
6143
  { 11142 /* vspltisb */, PPC::VSPLTISB, Convert__RegVRRC1_0__S5Imm1_1, 0, { MCK_RegVRRC, MCK_S5Imm }, },
6144
  { 11151 /* vspltish */, PPC::VSPLTISH, Convert__RegVRRC1_0__S5Imm1_1, 0, { MCK_RegVRRC, MCK_S5Imm }, },
6145
  { 11160 /* vspltisw */, PPC::VSPLTISW, Convert__RegVRRC1_0__S5Imm1_1, 0, { MCK_RegVRRC, MCK_S5Imm }, },
6146
  { 11169 /* vspltw */, PPC::VSPLTW, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, },
6147
  { 11176 /* vsr */, PPC::VSR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6148
  { 11180 /* vsrab */, PPC::VSRAB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6149
  { 11186 /* vsrad */, PPC::VSRAD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6150
  { 11192 /* vsrah */, PPC::VSRAH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6151
  { 11198 /* vsraw */, PPC::VSRAW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6152
  { 11204 /* vsrb */, PPC::VSRB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6153
  { 11209 /* vsrd */, PPC::VSRD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6154
  { 11214 /* vsrh */, PPC::VSRH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6155
  { 11219 /* vsro */, PPC::VSRO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6156
  { 11224 /* vsrv */, PPC::VSRV, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6157
  { 11229 /* vsrw */, PPC::VSRW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6158
  { 11234 /* vsubcuq */, PPC::VSUBCUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6159
  { 11242 /* vsubcuw */, PPC::VSUBCUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6160
  { 11250 /* vsubecuq */, PPC::VSUBECUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6161
  { 11259 /* vsubeuqm */, PPC::VSUBEUQM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6162
  { 11268 /* vsubfp */, PPC::VSUBFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6163
  { 11275 /* vsubsbs */, PPC::VSUBSBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6164
  { 11283 /* vsubshs */, PPC::VSUBSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6165
  { 11291 /* vsubsws */, PPC::VSUBSWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6166
  { 11299 /* vsububm */, PPC::VSUBUBM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6167
  { 11307 /* vsububs */, PPC::VSUBUBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6168
  { 11315 /* vsubudm */, PPC::VSUBUDM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6169
  { 11323 /* vsubuhm */, PPC::VSUBUHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6170
  { 11331 /* vsubuhs */, PPC::VSUBUHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6171
  { 11339 /* vsubuqm */, PPC::VSUBUQM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6172
  { 11347 /* vsubuwm */, PPC::VSUBUWM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6173
  { 11355 /* vsubuws */, PPC::VSUBUWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6174
  { 11363 /* vsum2sws */, PPC::VSUM2SWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6175
  { 11372 /* vsum4sbs */, PPC::VSUM4SBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6176
  { 11381 /* vsum4shs */, PPC::VSUM4SHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6177
  { 11390 /* vsum4ubs */, PPC::VSUM4UBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6178
  { 11399 /* vsumsws */, PPC::VSUMSWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6179
  { 11407 /* vupkhpx */, PPC::VUPKHPX, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6180
  { 11415 /* vupkhsb */, PPC::VUPKHSB, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6181
  { 11423 /* vupkhsh */, PPC::VUPKHSH, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6182
  { 11431 /* vupkhsw */, PPC::VUPKHSW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6183
  { 11439 /* vupklpx */, PPC::VUPKLPX, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6184
  { 11447 /* vupklsb */, PPC::VUPKLSB, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6185
  { 11455 /* vupklsh */, PPC::VUPKLSH, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6186
  { 11463 /* vupklsw */, PPC::VUPKLSW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6187
  { 11471 /* vxor */, PPC::VXOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6188
  { 11476 /* wait */, PPC::WAIT, Convert__imm_95_0, 0, {  }, },
6189
  { 11476 /* wait */, PPC::WAIT, Convert__Imm1_0, 0, { MCK_Imm }, },
6190
  { 11481 /* waitimpl */, PPC::WAIT, Convert__imm_95_2, 0, {  }, },
6191
  { 11490 /* waitrsv */, PPC::WAIT, Convert__imm_95_1, 0, {  }, },
6192
  { 11498 /* wrtee */, PPC::WRTEE, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, },
6193
  { 11504 /* wrteei */, PPC::WRTEEI, Convert__Imm1_0, 0, { MCK_Imm }, },
6194
  { 11511 /* xnop */, PPC::XORI, Convert__regR0__regR0__imm_95_0, 0, {  }, },
6195
  { 11516 /* xor */, PPC::XOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
6196
  { 11516 /* xor */, PPC::XORo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, },
6197
  { 11520 /* xori */, PPC::XORI, Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, },
6198
  { 11525 /* xoris */, PPC::XORIS, Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, },
6199
  { 11531 /* xsabsdp */, PPC::XSABSDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6200
  { 11539 /* xsabsqp */, PPC::XSABSQP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6201
  { 11547 /* xsadddp */, PPC::XSADDDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6202
  { 11555 /* xsaddqp */, PPC::XSADDQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6203
  { 11563 /* xsaddqpo */, PPC::XSADDQPO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6204
  { 11572 /* xsaddsp */, PPC::XSADDSP, Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6205
  { 11580 /* xscmpeqdp */, PPC::XSCMPEQDP, Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6206
  { 11590 /* xscmpexpdp */, PPC::XSCMPEXPDP, Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegCRRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6207
  { 11601 /* xscmpexpqp */, PPC::XSCMPEXPQP, Convert__RegCRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegCRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6208
  { 11612 /* xscmpgedp */, PPC::XSCMPGEDP, Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6209
  { 11622 /* xscmpgtdp */, PPC::XSCMPGTDP, Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6210
  { 11632 /* xscmpnedp */, PPC::XSCMPNEDP, Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6211
  { 11642 /* xscmpodp */, PPC::XSCMPODP, Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegCRRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6212
  { 11651 /* xscmpoqp */, PPC::XSCMPOQP, Convert__RegCRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegCRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6213
  { 11660 /* xscmpudp */, PPC::XSCMPUDP, Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegCRRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6214
  { 11669 /* xscmpuqp */, PPC::XSCMPUQP, Convert__RegCRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegCRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6215
  { 11678 /* xscpsgndp */, PPC::XSCPSGNDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6216
  { 11688 /* xscpsgnqp */, PPC::XSCPSGNQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6217
  { 11698 /* xscvdphp */, PPC::XSCVDPHP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6218
  { 11707 /* xscvdpqp */, PPC::XSCVDPQP, Convert__RegVRRC1_0__RegVFRC1_1, 0, { MCK_RegVRRC, MCK_RegVFRC }, },
6219
  { 11716 /* xscvdpsp */, PPC::XSCVDPSP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6220
  { 11725 /* xscvdpspn */, PPC::XSCVDPSPN, Convert__RegVSRC1_0__RegVSSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSSRC }, },
6221
  { 11735 /* xscvdpsxds */, PPC::XSCVDPSXDS, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6222
  { 11746 /* xscvdpsxws */, PPC::XSCVDPSXWS, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6223
  { 11757 /* xscvdpuxds */, PPC::XSCVDPUXDS, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6224
  { 11768 /* xscvdpuxws */, PPC::XSCVDPUXWS, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6225
  { 11779 /* xscvhpdp */, PPC::XSCVHPDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6226
  { 11788 /* xscvqpdp */, PPC::XSCVQPDP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6227
  { 11797 /* xscvqpdpo */, PPC::XSCVQPDPO, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6228
  { 11807 /* xscvqpsdz */, PPC::XSCVQPSDZ, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6229
  { 11817 /* xscvqpswz */, PPC::XSCVQPSWZ, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6230
  { 11827 /* xscvqpudz */, PPC::XSCVQPUDZ, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6231
  { 11837 /* xscvqpuwz */, PPC::XSCVQPUWZ, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6232
  { 11847 /* xscvsdqp */, PPC::XSCVSDQP, Convert__RegVRRC1_0__RegVFRC1_1, 0, { MCK_RegVRRC, MCK_RegVFRC }, },
6233
  { 11856 /* xscvspdp */, PPC::XSCVSPDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6234
  { 11865 /* xscvspdpn */, PPC::XSCVSPDPN, Convert__RegVSSRC1_0__RegVSRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSRC }, },
6235
  { 11875 /* xscvsxddp */, PPC::XSCVSXDDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6236
  { 11885 /* xscvsxdsp */, PPC::XSCVSXDSP, Convert__RegVSSRC1_0__RegVSFRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSFRC }, },
6237
  { 11895 /* xscvudqp */, PPC::XSCVUDQP, Convert__RegVRRC1_0__RegVFRC1_1, 0, { MCK_RegVRRC, MCK_RegVFRC }, },
6238
  { 11904 /* xscvuxddp */, PPC::XSCVUXDDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6239
  { 11914 /* xscvuxdsp */, PPC::XSCVUXDSP, Convert__RegVSSRC1_0__RegVSFRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSFRC }, },
6240
  { 11924 /* xsdivdp */, PPC::XSDIVDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6241
  { 11932 /* xsdivqp */, PPC::XSDIVQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6242
  { 11940 /* xsdivqpo */, PPC::XSDIVQPO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6243
  { 11949 /* xsdivsp */, PPC::XSDIVSP, Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6244
  { 11957 /* xsiexpdp */, PPC::XSIEXPDP, Convert__RegVSRC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegVSRC, MCK_RegG8RC, MCK_RegG8RC }, },
6245
  { 11966 /* xsiexpqp */, PPC::XSIEXPQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVSFRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVSFRC }, },
6246
  { 11975 /* xsmaddadp */, PPC::XSMADDADP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6247
  { 11985 /* xsmaddasp */, PPC::XSMADDASP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6248
  { 11995 /* xsmaddmdp */, PPC::XSMADDMDP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6249
  { 12005 /* xsmaddmsp */, PPC::XSMADDMSP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6250
  { 12015 /* xsmaddqp */, PPC::XSMADDQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6251
  { 12024 /* xsmaddqpo */, PPC::XSMADDQPO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6252
  { 12034 /* xsmaxcdp */, PPC::XSMAXCDP, Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6253
  { 12043 /* xsmaxdp */, PPC::XSMAXDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6254
  { 12051 /* xsmaxjdp */, PPC::XSMAXJDP, Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6255
  { 12060 /* xsmincdp */, PPC::XSMINCDP, Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6256
  { 12069 /* xsmindp */, PPC::XSMINDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6257
  { 12077 /* xsminjdp */, PPC::XSMINJDP, Convert__RegVSRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6258
  { 12086 /* xsmsubadp */, PPC::XSMSUBADP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6259
  { 12096 /* xsmsubasp */, PPC::XSMSUBASP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6260
  { 12106 /* xsmsubmdp */, PPC::XSMSUBMDP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6261
  { 12116 /* xsmsubmsp */, PPC::XSMSUBMSP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6262
  { 12126 /* xsmsubqp */, PPC::XSMSUBQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6263
  { 12135 /* xsmsubqpo */, PPC::XSMSUBQPO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6264
  { 12145 /* xsmuldp */, PPC::XSMULDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6265
  { 12153 /* xsmulqp */, PPC::XSMULQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6266
  { 12161 /* xsmulqpo */, PPC::XSMULQPO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6267
  { 12170 /* xsmulsp */, PPC::XSMULSP, Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6268
  { 12178 /* xsnabsdp */, PPC::XSNABSDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6269
  { 12187 /* xsnabsqp */, PPC::XSNABSQP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6270
  { 12196 /* xsnegdp */, PPC::XSNEGDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6271
  { 12204 /* xsnegqp */, PPC::XSNEGQP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6272
  { 12212 /* xsnmaddadp */, PPC::XSNMADDADP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6273
  { 12223 /* xsnmaddasp */, PPC::XSNMADDASP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6274
  { 12234 /* xsnmaddmdp */, PPC::XSNMADDMDP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6275
  { 12245 /* xsnmaddmsp */, PPC::XSNMADDMSP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6276
  { 12256 /* xsnmaddqp */, PPC::XSNMADDQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6277
  { 12266 /* xsnmaddqpo */, PPC::XSNMADDQPO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6278
  { 12277 /* xsnmsubadp */, PPC::XSNMSUBADP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6279
  { 12288 /* xsnmsubasp */, PPC::XSNMSUBASP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6280
  { 12299 /* xsnmsubmdp */, PPC::XSNMSUBMDP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6281
  { 12310 /* xsnmsubmsp */, PPC::XSNMSUBMSP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6282
  { 12321 /* xsnmsubqp */, PPC::XSNMSUBQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6283
  { 12331 /* xsnmsubqpo */, PPC::XSNMSUBQPO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6284
  { 12342 /* xsrdpi */, PPC::XSRDPI, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6285
  { 12349 /* xsrdpic */, PPC::XSRDPIC, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6286
  { 12357 /* xsrdpim */, PPC::XSRDPIM, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6287
  { 12365 /* xsrdpip */, PPC::XSRDPIP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6288
  { 12373 /* xsrdpiz */, PPC::XSRDPIZ, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6289
  { 12381 /* xsredp */, PPC::XSREDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6290
  { 12388 /* xsresp */, PPC::XSRESP, Convert__RegVSSRC1_0__RegVSSRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSSRC }, },
6291
  { 12395 /* xsrqpi */, PPC::XSRQPI, Convert__RegVRRC1_1__U1Imm1_0__RegVRRC1_2__U2Imm1_3, 0, { MCK_U1Imm, MCK_RegVRRC, MCK_RegVRRC, MCK_U2Imm }, },
6292
  { 12402 /* xsrqpix */, PPC::XSRQPIX, Convert__RegVRRC1_1__U1Imm1_0__RegVRRC1_2__U2Imm1_3, 0, { MCK_U1Imm, MCK_RegVRRC, MCK_RegVRRC, MCK_U2Imm }, },
6293
  { 12410 /* xsrqpxp */, PPC::XSRQPXP, Convert__RegVRRC1_1__U1Imm1_0__RegVRRC1_2__U2Imm1_3, 0, { MCK_U1Imm, MCK_RegVRRC, MCK_RegVRRC, MCK_U2Imm }, },
6294
  { 12418 /* xsrsqrtedp */, PPC::XSRSQRTEDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6295
  { 12429 /* xsrsqrtesp */, PPC::XSRSQRTESP, Convert__RegVSSRC1_0__RegVSSRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSSRC }, },
6296
  { 12440 /* xssqrtdp */, PPC::XSSQRTDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, },
6297
  { 12449 /* xssqrtqp */, PPC::XSSQRTQP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6298
  { 12458 /* xssqrtqpo */, PPC::XSSQRTQPO, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6299
  { 12468 /* xssqrtsp */, PPC::XSSQRTSP, Convert__RegVSSRC1_0__RegVSSRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSSRC }, },
6300
  { 12477 /* xssubdp */, PPC::XSSUBDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6301
  { 12485 /* xssubqp */, PPC::XSSUBQP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6302
  { 12493 /* xssubqpo */, PPC::XSSUBQPO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, },
6303
  { 12502 /* xssubsp */, PPC::XSSUBSP, Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, },
6304
  { 12510 /* xstdivdp */, PPC::XSTDIVDP, Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegCRRC, MCK_RegVSFRC, MCK_RegVSFRC }, },
6305
  { 12519 /* xstsqrtdp */, PPC::XSTSQRTDP, Convert__RegCRRC1_0__RegVSFRC1_1, 0, { MCK_RegCRRC, MCK_RegVSFRC }, },
6306
  { 12529 /* xststdcdp */, PPC::XSTSTDCDP, Convert__RegCRRC1_0__U7Imm1_2__RegVSFRC1_1, 0, { MCK_RegCRRC, MCK_RegVSFRC, MCK_U7Imm }, },
6307
  { 12539 /* xststdcqp */, PPC::XSTSTDCQP, Convert__RegCRRC1_0__U7Imm1_2__RegVRRC1_1, 0, { MCK_RegCRRC, MCK_RegVRRC, MCK_U7Imm }, },
6308
  { 12549 /* xststdcsp */, PPC::XSTSTDCSP, Convert__RegCRRC1_0__U7Imm1_2__RegVSFRC1_1, 0, { MCK_RegCRRC, MCK_RegVSFRC, MCK_U7Imm }, },
6309
  { 12559 /* xsxexpdp */, PPC::XSXEXPDP, Convert__RegG8RC1_0__RegVSFRC1_1, 0, { MCK_RegG8RC, MCK_RegVSFRC }, },
6310
  { 12568 /* xsxexpqp */, PPC::XSXEXPQP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6311
  { 12577 /* xsxsigdp */, PPC::XSXSIGDP, Convert__RegG8RC1_0__RegVSFRC1_1, 0, { MCK_RegG8RC, MCK_RegVSFRC }, },
6312
  { 12586 /* xsxsigqp */, PPC::XSXSIGQP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, },
6313
  { 12595 /* xvabsdp */, PPC::XVABSDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6314
  { 12603 /* xvabssp */, PPC::XVABSSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6315
  { 12611 /* xvadddp */, PPC::XVADDDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6316
  { 12619 /* xvaddsp */, PPC::XVADDSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6317
  { 12627 /* xvcmpeqdp */, PPC::XVCMPEQDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6318
  { 12627 /* xvcmpeqdp */, PPC::XVCMPEQDPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6319
  { 12637 /* xvcmpeqsp */, PPC::XVCMPEQSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6320
  { 12637 /* xvcmpeqsp */, PPC::XVCMPEQSPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6321
  { 12647 /* xvcmpgedp */, PPC::XVCMPGEDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6322
  { 12647 /* xvcmpgedp */, PPC::XVCMPGEDPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6323
  { 12657 /* xvcmpgesp */, PPC::XVCMPGESP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6324
  { 12657 /* xvcmpgesp */, PPC::XVCMPGESPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6325
  { 12667 /* xvcmpgtdp */, PPC::XVCMPGTDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6326
  { 12667 /* xvcmpgtdp */, PPC::XVCMPGTDPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6327
  { 12677 /* xvcmpgtsp */, PPC::XVCMPGTSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6328
  { 12677 /* xvcmpgtsp */, PPC::XVCMPGTSPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6329
  { 12687 /* xvcmpnedp */, PPC::XVCMPNEDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6330
  { 12687 /* xvcmpnedp */, PPC::XVCMPNEDPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6331
  { 12697 /* xvcmpnesp */, PPC::XVCMPNESP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6332
  { 12697 /* xvcmpnesp */, PPC::XVCMPNESPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6333
  { 12707 /* xvcpsgndp */, PPC::XVCPSGNDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6334
  { 12717 /* xvcpsgnsp */, PPC::XVCPSGNSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6335
  { 12727 /* xvcvdpsp */, PPC::XVCVDPSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6336
  { 12736 /* xvcvdpsxds */, PPC::XVCVDPSXDS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6337
  { 12747 /* xvcvdpsxws */, PPC::XVCVDPSXWS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6338
  { 12758 /* xvcvdpuxds */, PPC::XVCVDPUXDS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6339
  { 12769 /* xvcvdpuxws */, PPC::XVCVDPUXWS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6340
  { 12780 /* xvcvhpsp */, PPC::XVCVHPSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6341
  { 12789 /* xvcvspdp */, PPC::XVCVSPDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6342
  { 12798 /* xvcvsphp */, PPC::XVCVSPHP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6343
  { 12807 /* xvcvspsxds */, PPC::XVCVSPSXDS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6344
  { 12818 /* xvcvspsxws */, PPC::XVCVSPSXWS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6345
  { 12829 /* xvcvspuxds */, PPC::XVCVSPUXDS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6346
  { 12840 /* xvcvspuxws */, PPC::XVCVSPUXWS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6347
  { 12851 /* xvcvsxddp */, PPC::XVCVSXDDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6348
  { 12861 /* xvcvsxdsp */, PPC::XVCVSXDSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6349
  { 12871 /* xvcvsxwdp */, PPC::XVCVSXWDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6350
  { 12881 /* xvcvsxwsp */, PPC::XVCVSXWSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6351
  { 12891 /* xvcvuxddp */, PPC::XVCVUXDDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6352
  { 12901 /* xvcvuxdsp */, PPC::XVCVUXDSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6353
  { 12911 /* xvcvuxwdp */, PPC::XVCVUXWDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6354
  { 12921 /* xvcvuxwsp */, PPC::XVCVUXWSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6355
  { 12931 /* xvdivdp */, PPC::XVDIVDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6356
  { 12939 /* xvdivsp */, PPC::XVDIVSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6357
  { 12947 /* xviexpdp */, PPC::XVIEXPDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6358
  { 12956 /* xviexpsp */, PPC::XVIEXPSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6359
  { 12965 /* xvmaddadp */, PPC::XVMADDADP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6360
  { 12975 /* xvmaddasp */, PPC::XVMADDASP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6361
  { 12985 /* xvmaddmdp */, PPC::XVMADDMDP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6362
  { 12995 /* xvmaddmsp */, PPC::XVMADDMSP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6363
  { 13005 /* xvmaxdp */, PPC::XVMAXDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6364
  { 13013 /* xvmaxsp */, PPC::XVMAXSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6365
  { 13021 /* xvmindp */, PPC::XVMINDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6366
  { 13029 /* xvminsp */, PPC::XVMINSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6367
  { 13037 /* xvmovdp */, PPC::XVCPSGNDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6368
  { 13045 /* xvmovsp */, PPC::XVCPSGNSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6369
  { 13053 /* xvmsubadp */, PPC::XVMSUBADP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6370
  { 13063 /* xvmsubasp */, PPC::XVMSUBASP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6371
  { 13073 /* xvmsubmdp */, PPC::XVMSUBMDP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6372
  { 13083 /* xvmsubmsp */, PPC::XVMSUBMSP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6373
  { 13093 /* xvmuldp */, PPC::XVMULDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6374
  { 13101 /* xvmulsp */, PPC::XVMULSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6375
  { 13109 /* xvnabsdp */, PPC::XVNABSDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6376
  { 13118 /* xvnabssp */, PPC::XVNABSSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6377
  { 13127 /* xvnegdp */, PPC::XVNEGDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6378
  { 13135 /* xvnegsp */, PPC::XVNEGSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6379
  { 13143 /* xvnmaddadp */, PPC::XVNMADDADP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6380
  { 13154 /* xvnmaddasp */, PPC::XVNMADDASP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6381
  { 13165 /* xvnmaddmdp */, PPC::XVNMADDMDP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6382
  { 13176 /* xvnmaddmsp */, PPC::XVNMADDMSP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6383
  { 13187 /* xvnmsubadp */, PPC::XVNMSUBADP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6384
  { 13198 /* xvnmsubasp */, PPC::XVNMSUBASP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6385
  { 13209 /* xvnmsubmdp */, PPC::XVNMSUBMDP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6386
  { 13220 /* xvnmsubmsp */, PPC::XVNMSUBMSP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6387
  { 13231 /* xvrdpi */, PPC::XVRDPI, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6388
  { 13238 /* xvrdpic */, PPC::XVRDPIC, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6389
  { 13246 /* xvrdpim */, PPC::XVRDPIM, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6390
  { 13254 /* xvrdpip */, PPC::XVRDPIP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6391
  { 13262 /* xvrdpiz */, PPC::XVRDPIZ, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6392
  { 13270 /* xvredp */, PPC::XVREDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6393
  { 13277 /* xvresp */, PPC::XVRESP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6394
  { 13284 /* xvrspi */, PPC::XVRSPI, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6395
  { 13291 /* xvrspic */, PPC::XVRSPIC, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6396
  { 13299 /* xvrspim */, PPC::XVRSPIM, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6397
  { 13307 /* xvrspip */, PPC::XVRSPIP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6398
  { 13315 /* xvrspiz */, PPC::XVRSPIZ, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6399
  { 13323 /* xvrsqrtedp */, PPC::XVRSQRTEDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6400
  { 13334 /* xvrsqrtesp */, PPC::XVRSQRTESP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6401
  { 13345 /* xvsqrtdp */, PPC::XVSQRTDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6402
  { 13354 /* xvsqrtsp */, PPC::XVSQRTSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6403
  { 13363 /* xvsubdp */, PPC::XVSUBDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6404
  { 13371 /* xvsubsp */, PPC::XVSUBSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6405
  { 13379 /* xvtdivdp */, PPC::XVTDIVDP, Convert__RegCRRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegCRRC, MCK_RegVSRC, MCK_RegVSRC }, },
6406
  { 13388 /* xvtdivsp */, PPC::XVTDIVSP, Convert__RegCRRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegCRRC, MCK_RegVSRC, MCK_RegVSRC }, },
6407
  { 13397 /* xvtsqrtdp */, PPC::XVTSQRTDP, Convert__RegCRRC1_0__RegVSRC1_1, 0, { MCK_RegCRRC, MCK_RegVSRC }, },
6408
  { 13407 /* xvtsqrtsp */, PPC::XVTSQRTSP, Convert__RegCRRC1_0__RegVSRC1_1, 0, { MCK_RegCRRC, MCK_RegVSRC }, },
6409
  { 13417 /* xvtstdcdp */, PPC::XVTSTDCDP, Convert__RegVSRC1_0__U7Imm1_2__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_U7Imm }, },
6410
  { 13427 /* xvtstdcsp */, PPC::XVTSTDCSP, Convert__RegVSRC1_0__U7Imm1_2__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_U7Imm }, },
6411
  { 13437 /* xvxexpdp */, PPC::XVXEXPDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6412
  { 13446 /* xvxexpsp */, PPC::XVXEXPSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6413
  { 13455 /* xvxsigdp */, PPC::XVXSIGDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6414
  { 13464 /* xvxsigsp */, PPC::XVXSIGSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6415
  { 13473 /* xxbrd */, PPC::XXBRD, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6416
  { 13479 /* xxbrh */, PPC::XXBRH, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6417
  { 13485 /* xxbrq */, PPC::XXBRQ, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6418
  { 13491 /* xxbrw */, PPC::XXBRW, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6419
  { 13497 /* xxextractuw */, PPC::XXEXTRACTUW, Convert__RegVSFRC1_0__RegVSRC1_1__U4Imm1_2, 0, { MCK_RegVSFRC, MCK_RegVSRC, MCK_U4Imm }, },
6420
  { 13509 /* xxinsertw */, PPC::XXINSERTW, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__U4Imm1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_U4Imm }, },
6421
  { 13519 /* xxland */, PPC::XXLAND, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6422
  { 13526 /* xxlandc */, PPC::XXLANDC, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6423
  { 13534 /* xxleqv */, PPC::XXLEQV, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6424
  { 13541 /* xxlnand */, PPC::XXLNAND, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6425
  { 13549 /* xxlnor */, PPC::XXLNOR, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6426
  { 13556 /* xxlor */, PPC::XXLOR, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6427
  { 13562 /* xxlorc */, PPC::XXLORC, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6428
  { 13569 /* xxlxor */, PPC::XXLXOR, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6429
  { 13576 /* xxmrghd */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_0, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6430
  { 13584 /* xxmrghw */, PPC::XXMRGHW, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6431
  { 13592 /* xxmrgld */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6432
  { 13600 /* xxmrglw */, PPC::XXMRGLW, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6433
  { 13608 /* xxperm */, PPC::XXPERM, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6434
  { 13615 /* xxpermdi */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__U2Imm1_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC, MCK_U2Imm }, },
6435
  { 13624 /* xxpermr */, PPC::XXPERMR, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6436
  { 13632 /* xxsel */, PPC::XXSEL, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, },
6437
  { 13638 /* xxsldwi */, PPC::XXSLDWI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__U2Imm1_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC, MCK_U2Imm }, },
6438
  { 13646 /* xxspltd */, PPC::XXPERMDIs, Convert__RegVSRC1_0__RegVSFRC1_1__imm_95_0, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_0 }, },
6439
  { 13646 /* xxspltd */, PPC::XXPERMDIs, Convert__RegVSRC1_0__RegVSFRC1_1__imm_95_3, 0, { MCK_RegVSRC, MCK_RegVSFRC, MCK_1 }, },
6440
  { 13646 /* xxspltd */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_0, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_0 }, },
6441
  { 13646 /* xxspltd */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_1 }, },
6442
  { 13654 /* xxspltib */, PPC::XXSPLTIB, Convert__RegVSRC1_0__U8Imm1_1, 0, { MCK_RegVSRC, MCK_U8Imm }, },
6443
  { 13663 /* xxspltw */, PPC::XXSPLTW, Convert__RegVSRC1_0__RegVSRC1_1__U2Imm1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_U2Imm }, },
6444
  { 13671 /* xxswapd */, PPC::XXPERMDIs, Convert__RegVSRC1_0__RegVSFRC1_1__imm_95_2, 0, { MCK_RegVSRC, MCK_RegVSFRC }, },
6445
  { 13671 /* xxswapd */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_2, 0, { MCK_RegVSRC, MCK_RegVSRC }, },
6446
};
6447
6448
0
std::string PPCMnemonicSpellCheck(StringRef S, uint64_t FBS) {
6449
0
  const unsigned MaxEditDist = 2;
6450
0
  std::vector<StringRef> Candidates;
6451
0
  StringRef Prev = "";
6452
0
  auto End = std::end(MatchTable0);
6453
0
6454
0
  for (auto I = std::begin(MatchTable0); 
I < End0
;
I++0
) {
6455
0
    // Ignore unsupported instructions.
6456
0
    if ((FBS & I->RequiredFeatures) != I->RequiredFeatures)
6457
0
      continue;
6458
0
6459
0
    StringRef T = I->getMnemonic();
6460
0
    // Avoid recomputing the edit distance for the same string.
6461
0
    if (T.equals(Prev))
6462
0
      continue;
6463
0
6464
0
    Prev = T;
6465
0
    unsigned Dist = S.edit_distance(T, false, MaxEditDist);
6466
0
    if (Dist <= MaxEditDist)
6467
0
      Candidates.push_back(T);
6468
0
  }
6469
0
6470
0
  if (Candidates.empty())
6471
0
    return "";
6472
0
6473
0
  std::string Res = ", did you mean: ";
6474
0
  unsigned i = 0;
6475
0
  for( ; 
i < Candidates.size() - 10
;
i++0
)
6476
0
    Res += Candidates[i].str() + ", ";
6477
0
  return Res + Candidates[i].str() + "?";
6478
0
}
6479
6480
unsigned PPCAsmParser::
6481
MatchInstructionImpl(const OperandVector &Operands,
6482
                     MCInst &Inst, uint64_t &ErrorInfo,
6483
5.76k
                     bool matchingInlineAsm, unsigned VariantID) {
6484
5.76k
  // Eliminate obvious mismatches.
6485
5.76k
  if (
Operands.size() > 75.76k
) {
6486
0
    ErrorInfo = 7;
6487
0
    return Match_InvalidOperand;
6488
0
  }
6489
5.76k
6490
5.76k
  // Get the current feature set.
6491
5.76k
  uint64_t AvailableFeatures = getAvailableFeatures();
6492
5.76k
6493
5.76k
  // Get the instruction mnemonic, which is the first token.
6494
5.76k
  StringRef Mnemonic = ((PPCOperand&)*Operands[0]).getToken();
6495
5.76k
6496
5.76k
  // Process all MnemonicAliases to remap the mnemonic.
6497
5.76k
  applyMnemonicAliases(Mnemonic, AvailableFeatures, VariantID);
6498
5.76k
6499
5.76k
  // Some state to try to produce better error messages.
6500
5.76k
  bool HadMatchOtherThanFeatures = false;
6501
5.76k
  bool HadMatchOtherThanPredicate = false;
6502
5.76k
  unsigned RetCode = Match_InvalidOperand;
6503
5.76k
  uint64_t MissingFeatures = ~0ULL;
6504
5.76k
  // Set ErrorInfo to the operand that mismatches if it is
6505
5.76k
  // wrong for all instances of the instruction.
6506
5.76k
  ErrorInfo = ~0ULL;
6507
5.76k
  // Find the appropriate table for this asm variant.
6508
5.76k
  const MatchEntry *Start, *End;
6509
5.76k
  switch (VariantID) {
6510
0
  
default: 0
llvm_unreachable0
("invalid variant!");
6511
5.76k
  case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
6512
5.76k
  }
6513
5.76k
  // Search the table.
6514
5.76k
  auto MnemonicRange = std::equal_range(Start, End, Mnemonic, LessOpcode());
6515
5.76k
6516
5.76k
  // Return a more specific error code if no mnemonics match.
6517
5.76k
  if (MnemonicRange.first == MnemonicRange.second)
6518
0
    return Match_MnemonicFail;
6519
5.76k
6520
5.76k
  for (const MatchEntry *it = MnemonicRange.first, *ie = MnemonicRange.second;
6521
7.15k
       
it != ie7.15k
;
++it1.38k
) {
6522
7.12k
    // equal_range guarantees that instruction mnemonic matches.
6523
7.12k
    assert(Mnemonic == it->getMnemonic());
6524
7.12k
    bool OperandsValid = true;
6525
20.2k
    for (unsigned FormalIdx = 0, ActualIdx = 1; 
FormalIdx != 620.2k
;
++FormalIdx13.0k
) {
6526
20.2k
      auto Formal = static_cast<MatchClassKind>(it->Classes[FormalIdx]);
6527
20.2k
      if (
ActualIdx >= Operands.size()20.2k
) {
6528
0
        OperandsValid = (Formal == InvalidMatchClass) || isSubclass(Formal, OptionalMatchClass);
6529
5.72k
        if (
!OperandsValid5.72k
)
ErrorInfo = ActualIdx0
;
6530
5.72k
        break;
6531
5.72k
      }
6532
14.4k
      MCParsedAsmOperand &Actual = *Operands[ActualIdx];
6533
14.4k
      unsigned Diag = validateOperandClass(Actual, Formal);
6534
14.4k
      if (
Diag == Match_Success14.4k
) {
6535
12.9k
        ++ActualIdx;
6536
12.9k
        continue;
6537
12.9k
      }
6538
14.4k
      // If the generic handler indicates an invalid operand
6539
14.4k
      // failure, check for a special case.
6540
1.51k
      
if (1.51k
Diag == Match_InvalidOperand1.51k
) {
6541
1.51k
        Diag = validateTargetOperandClass(Actual, Formal);
6542
1.51k
        if (
Diag == Match_Success1.51k
) {
6543
126
          ++ActualIdx;
6544
126
          continue;
6545
126
        }
6546
1.51k
      }
6547
1.51k
      // If current formal operand wasn't matched and it is optional
6548
1.51k
      // then try to match next formal operand
6549
1.38k
      
if (1.38k
Diag == Match_InvalidOperand && 1.38k
isSubclass(Formal, OptionalMatchClass)1.38k
) {
6550
0
        continue;
6551
0
      }
6552
1.38k
      // If this operand is broken for all of the instances of this
6553
1.38k
      // mnemonic, keep track of it so we can report loc info.
6554
1.38k
      // If we already had a match that only failed due to a
6555
1.38k
      // target predicate, that diagnostic is preferred.
6556
1.38k
      
if (1.38k
!HadMatchOtherThanPredicate &&
6557
1.38k
          
(it == MnemonicRange.first || 1.38k
ErrorInfo <= ActualIdx182
)) {
6558
1.36k
        ErrorInfo = ActualIdx;
6559
1.36k
        // InvalidOperand is the default. Prefer specificity.
6560
1.36k
        if (Diag != Match_InvalidOperand)
6561
0
          RetCode = Diag;
6562
1.36k
      }
6563
1.38k
      // Otherwise, just reject this instance of the mnemonic.
6564
1.38k
      OperandsValid = false;
6565
1.38k
      break;
6566
20.2k
    }
6567
7.12k
6568
7.12k
    if (
!OperandsValid7.12k
)
continue1.38k
;
6569
5.73k
    
if (5.73k
(AvailableFeatures & it->RequiredFeatures) != it->RequiredFeatures5.73k
) {
6570
0
      HadMatchOtherThanFeatures = true;
6571
0
      uint64_t NewMissingFeatures = it->RequiredFeatures & ~AvailableFeatures;
6572
0
      if (countPopulation(NewMissingFeatures) <=
6573
0
          countPopulation(MissingFeatures))
6574
0
        MissingFeatures = NewMissingFeatures;
6575
0
      continue;
6576
0
    }
6577
5.73k
6578
5.73k
    Inst.clear();
6579
5.73k
6580
5.73k
    Inst.setOpcode(it->Opcode);
6581
5.73k
    // We have a potential match but have not rendered the operands.
6582
5.73k
    // Check the target predicate to handle any context sensitive
6583
5.73k
    // constraints.
6584
5.73k
    // For example, Ties that are referenced multiple times must be
6585
5.73k
    // checked here to ensure the input is the same for each match
6586
5.73k
    // constraints. If we leave it any later the ties will have been
6587
5.73k
    // canonicalized
6588
5.73k
    unsigned MatchResult;
6589
5.73k
    if (
(MatchResult = checkEarlyTargetMatchPredicate(Inst, Operands)) != Match_Success5.73k
) {
6590
0
      Inst.clear();
6591
0
      RetCode = MatchResult;
6592
0
      HadMatchOtherThanPredicate = true;
6593
0
      continue;
6594
0
    }
6595
5.73k
6596
5.73k
    
if (5.73k
matchingInlineAsm5.73k
) {
6597
0
      convertToMapAndConstraints(it->ConvertFn, Operands);
6598
0
      return Match_Success;
6599
0
    }
6600
5.73k
6601
5.73k
    // We have selected a definite instruction, convert the parsed
6602
5.73k
    // operands into the appropriate MCInst.
6603
5.73k
    convertToMCInst(it->ConvertFn, Inst, it->Opcode, Operands);
6604
5.73k
6605
5.73k
    // We have a potential match. Check the target predicate to
6606
5.73k
    // handle any context sensitive constraints.
6607
5.73k
    if (
(MatchResult = checkTargetMatchPredicate(Inst)) != Match_Success5.73k
) {
6608
0
      Inst.clear();
6609
0
      RetCode = MatchResult;
6610
0
      HadMatchOtherThanPredicate = true;
6611
0
      continue;
6612
0
    }
6613
5.73k
6614
5.73k
    std::string Info;
6615
5.73k
    if (!getParser().getTargetParser().
6616
5.73k
        getTargetOptions().MCNoDeprecatedWarn &&
6617
5.73k
        
MII.get(Inst.getOpcode()).getDeprecatedInfo(Inst, getSTI(), Info)5.73k
) {
6618
0
      SMLoc Loc = ((PPCOperand&)*Operands[0]).getStartLoc();
6619
0
      getParser().Warning(Loc, Info, None);
6620
0
    }
6621
5.73k
    return Match_Success;
6622
7.12k
  }
6623
5.76k
6624
5.76k
  // Okay, we had no match.  Try to return a useful error code.
6625
32
  
if (32
HadMatchOtherThanPredicate || 32
!HadMatchOtherThanFeatures32
)
6626
32
    return RetCode;
6627
32
6628
32
  // Missing feature matches return which features were missing
6629
0
  ErrorInfo = MissingFeatures;
6630
0
  return Match_MissingFeature;
6631
5.76k
}
6632
6633
#endif // GET_MATCHER_IMPLEMENTATION
6634